Коммуникации и связь: Устройство сбора информации, Дипломная работа

Оглавление

Введение

1. Основная техническая часть

1.1 Обзор аналогичных устройств

1.2 Логический расчет подсистем

1.2.1 Проектирование подсистемы памяти

1.2.2 Проектирование модуля параллельного адаптера

1.3 Разработка алгоритма функционирования системы

1.4 Проектирование программного обеспечения системы

1.5 Выбор и обоснование элементов МП комплекса

1.6 Принцип работы аппаратно-программных средств

2. Аппаратно-программные средства контроля устройства

2.1 Аппаратные средства контроля микросистемы

2.1.1 Логический пробник

2.1.2 Осциллограф С1-65А

2.1.3 Вольтметр В7-16А

2.2 Программное обеспечение тестирования устройства

2.3 Алгоритм поиска неисправности

3. Охрана окружающей среды, труда и пожаробезопасность

3.1 Производственная санитария

3.1.1 Требования к освещению

3.1.2 Уровень шума и вибрации

3.1.3 Требования к вентиляции и отоплению

3.2 Электробезопасность

3.3 Мероприятия по пожарной безопасности

4. Экономическая часть

5. Заключение


Введение

Ушедший век, а с ним и тысячелетие, ознаменован величайшими достижениями человечества в области совершенствования орудий труда. Небезуспешным творением человека стало изобретение компьютера – технического средства, иллюзионирующего фантастическую реальность. Работа компьютера подчинена законам логики, а принцип построения его устройств явился результатом сочетания достижений высокой технологии в электронике, микроминиатюризации элементов точной электромеханики и, несомненно, развития математики.

В наше время трудно представить себе, что без компьютеров можно обойтись. А ведь не так давно, до начала 70-х годов вычислительные машины были доступны весьма ограниченному кругу специалистов, а их применение, как правило, оставалось окутанным завесой секретности и мало известным широкой публике. Однако в 1971 г. произошло событие, которое в корне изменило ситуацию и с фантастической скоростью превратило компьютер в повседневный рабочий инструмент десятков миллионов людей. В том вне всякого сомнения знаменательном году еще почти никому не известная фирма Intel из небольшого американского городка с красивым названием Санта-Клара (шт. Калифорния), выпустила первый микропроцессор. Именно ему мы обязаны появлением нового класса вычислительных систем - персональных компьютеров, которыми теперь пользуются, по существу, все, от учащихся начальных классов и бухгалтеров до маститых ученых и инженеров.

Персональный компьютер IBM PC на процессоре 8088 фирмы Intel оказался тем долгожданным стандартом, который с радостью поддержали многочисленные программисты и фирмы-изготовители прикладного программного обеспечения: наконец то появился компьютер солидной фирмы, для которого можно было разрабатывать и успешно продавать достаточно сложные, совершенные и универсальные программы. По сути дела, компьютер IBM PC создал не только стабильный и обширный рынок ПК, но и огромный рынок прикладного ПО, на котором за последние полтора десятилетия разбогатело множество венчурных фирм. Вот яркий тому пример. Компьютер IBM PC почти с самого начала работал под управлением дисковой операционной системы DOS, которую разработала для IBM маленькая и никому тогда не известная фирма Microsoft. Сегодня Microsoft - бесспорный флагман индустрии программного обеспечения, одна из богатейших фирм мира, выпускающая не только операционные средства MS-DOS и Windows для управления компьютерами, но и различные прикладные пакеты. Разумеется, персоналка IBM PC оказалась только первым шагом в верном направлении. Затем фирма IBM выпустила множество моделей персональных компьютеров XT, AT, PC/1 и PC/2 на различных процессорах Intel 8086, 80286, 80386, 80486.

Все эти компьютеры предназначены для работы под управлением операционной системы DOS или в графической среде Windows. Этим машинам, не занимающим и половины поверхности обычного письменного стола, покоряются все новые и новые классы задач, которые ранее были доступны лишь системам, занимавшим не одну сотню квадратных метров. Наверное, никогда прежде человек не имел в своих руках инструмента, обладающего столь колоссальной мощью при столь микроскопических размерах.


1 ОСНОВНАЯ ТЕХНИЧЕСКАЯ ЧАСТЬ

 

1.1 Обзор аналогичных подсистем, устройств и алгоритмов

 

В недалеком прошлом измерение аналоговых величин представляло собой довольно громоздкий и неудобный процесс – информация с датчиков поступала на самописцы, а затем полученные графики анализировались и обрабатывались специалистами.

В наше время эти задачи можно решать гораздо проще – с помощью аналогово-цифровых преобразователей (АЦП). Эти устройства преобразовывают аналоговую информацию в цифровую, которая удобна для восприятия компьютером.

Последние десятилетия обусловлены широким внедрением в отрасли народного хозяйства средств микроэлектроники и вычислительной техники, обмен информацией с которыми обеспечивается линейными аналоговыми и цифровыми преобразователями (АЦП и ЦАП).

Современный этап характеризуется больших и сверхбольших интегральных схем ЦАП и АЦП обладающими высокими эксплуатационными параметрами: быстродействием, малыми погрешностями, многоразрядностью. Включение БИС ЦАП и АЦП единым, функционально законченным блоком сильно упростило внедрение их в приборы и установки, используемые как в научных исследованиях, так и в промышленности и дало возможность быстрого обмена информацией между аналоговыми и цифровыми устройствами.

Цифро-аналоговые и аналого-цифровые преобразователи АЦП находят .широкое применение в различных областях современной науки и техники. Они являются неотъемлемой составной частью цифровых измерительных приборов, систем преобразования и отображения информации, программируемых источников питания, индикаторов на электронно-лучевых трубках, радиолокационных систем, установок для контроля элементов и микросхем, а также важными компонентами различных автоматических систем контроля и управления, устройств ввода—вывода информации ЭВМ. На их основе строят преобразователи и генераторы практически любых функций, цифроуправляемые аналоговые регистрирующие устройства, корреляторы, анализаторы спектра и т. д. Велики перспективы использования быстродействующих преобразователей в телеметрии и телевидении. Несомненно, серийный выпуск малогабаритных и относительно дешевых АЦП еще более усилит тенденцию проникновения метода дискретно-непрерывного преобразования в сферу науки и техники. Одним из стимулов развития цифро-аналоговых и аналого-цифровых преобразователей в интегральном исполнении в последнее время является широкое распространение микропроцессоров и методов цифровой обработки данных. В свою очередь потребность в АЦП стимулирует их разработку и производство с новыми, более совершенными характеристиками. В настоящее время применяют три вида технологии производства АЦП: модульную, гибридную и полупроводниковую. При этом доля производства полупроводниковых интегральных схем (ИМС ЦАП и ИМС АЦП) в общем объеме их выпуска непрерывно возрастает и в недалеком будущем, по-видимому, в модульном и гибридном исполнениях будут выпускаться лишь сверхточные и сверхбыстродействующие преобразователи с достаточно большой рассеиваемой мощностью.

Проектируемое устройство представляет собой микропроцессорную систему обработки информации и управления, реализованную на основе современной элементной базы (БИС и СБИС) и принципа программного управления. Для построения микропроцессорной системы требуется ограниченный набор аппаратных ресурсов, а реализация функций системы возлагается на программное обеспечение. Таким образом, микропроцессорная система – это цифровая система обработки информации и управления, функциональные возможности которой определяются программным обеспечением, а взаимосвязь с внешней средой обеспечивается внешними устройствами (ВУ), такими как : устройства внешней памяти – накопители на гибких магнитных дисках (НГМД) и жестких винчестеровских дисках (НМД); устройства связи с оператором – пульты управления, знакоцифровые индикаторы, клавиатура и телевизионные мониторы, графические дисплеи, печатающие устройства (принтеры), устройства ввода/вывода графической и речевой информации, изображений; устройства сопряжения с объектами – аналоговые и цифровые датчики информации и исполнительные механизмы; устройства дистанционной связи – модуляторы/демодуляторы (модемы) и пр.

Проектируемая система выполнена на основе микропроцессорного комплекта БИС К1810, который изготовлен по высокопроизводительной nМОП-технологии и предназначен для построения 8- и 16-разрядных микропроцессорных систем широкого диапазона: от однопроцессорных микроконтроллеров и микро-ЭВМ до многопроцессорных распределенных микропроцессорных систем. В основу комплекта положены три основных принципа:

1) распределение функций процессора между универсальными и специализированными БИС;

2) ориентация БИС на построение мультипроцессорных систем;

3) иерархическая организация внутрисистемного интерфейса.

Функционально микропроцессорная система предназначена для последовательного опроса 8-ми аналоговых датчиков и передачи информации в ОЗУ и последующей ее обработки. Опрос датчиков, преобразование аналоговой информации в цифровую, запись информации в ОЗУ производится под управлением микропроцессора К1810ВМ86.

Рассмотрим аналогичную микропроцессорную систему, выполненную на основе микропроцессорного комплекта БИС КР580.

Основу всей аппаратной части микросистемы составляет процессорный модуль. Он выполняет все необходимые операции по чтению и записи данных, выставлению необходимого адреса, организации управляющих сигналов, которые управляют различными частями микросистемы. Процессорный модуль состоит из следующих основных микросхем.

КР580ВМ80 — микропроцессор, способный выполнять функции центрального процессора ЭВМ, т.е. может считывать информацию из внешних устройств, памяти и производить над ней арифметические и логические операции, анализировать результаты вычислений и записывать данные в память и внешние устройства, функционируя при этом под управлением команд из некоторого фиксированного множества, в нашем случае памяти программ, т.е. ПЗУ. Обмен с внешними устройствами и памятью микропроцессор осуществляет через восьмиразрядную шину данных. Микропроцессор КР580ВМ80 обращается к памяти и внешним устройствам через 16-разрядную шину адреса.

КР580ГФ24 — генератор тактовых импульсов. Данная микросхема вырабатывает тактовые синхросерии F1 и F2 для микропроцессора КР580ВМ80. Кроме того, генератор вырабатывает сигналы начальной установки микропроцессора RESET и готовности READY, синхронизированные тактовыми импульсами, и строб STSTB, синхронизированный от процессора по сигналу SYNC и необходимый для фиксации слова состояния процессора в системном контроллере.

КР580ВК28 — системный контроллер. Предназначен для фиксации слова состояния процессора, формирования сигналов управления памятью и внешними устройствами, буферизации шины данных. По этому импульсу происходит фиксация слова состояния в регистре состояния.

КР580ИР82 — буферный регистр. Предназначен для фиксации информации и может использоваться в системах, построенных на микропроцессорах различных серий, в том числе КР580ВМ80. В частности, в системах с микропроцессором КР580ВМ80 буферный регистр может быть использован для хранения слова состояния процессора. В нашем случае два буферных регистра предназначены для фиксации старшей и младшей частей адреса, поступающего от микропроцессора. Если на вход ОЕ поступает разрешающий сигнал низкого уровня, а на входе STB- сигнал высокого уровня, то информация, записанная в буферный регистр, сохраняется до появления разрешающего сигнала на входе STB.

БИС программируемого ПЗУ К556РТ4, на выходе которого формируется сигнал низкого уровня CS, предназначенный для выборки микросхемы памяти. Также БИС ПЗУ подсоединена к шине данных, через которую память производит обмен информацией. Управляющий сигнал MRDC поступает от процессора и указывает на чтение памяти.

ОЗУ организовано на БИС ОЗУ статического типа. Требуемый объем ЗУ обеспечивается наращиванием, т.е. путем последовательного соединения адресуемых субблоков. Способ организации ОЗУ с адресным селектором и системной шиной аналогичен. Различие состоит в присутствии управляющего сигнала MWTC, который предназначен для записи в память информации из процессора. Примечательно, что сигнал, поступающий на вход ОЕ микросхемы (разрешение выдачи информации) сформирован из сигналов MRDC и MWTC, объединенных конъюктивно с помощью элемента «И». Эта организация позволяет активировать БИС в момент чтения либо записи.

КР580ВВ55 – программируемый параллельный адаптер, который предназначен для управления внешними устройствами. Данная БИС адресуется с помощью дешифратора, реализованного на микросхеме К155ИД7. Адаптер имеет три порта ввода/вывода (А, В и С), которые могут быть настроены в различных направлениях. Настройка адаптера происходит с помощью следующих сигналов: A1, A0, CSPPI, IORC, IOWC, RESET.

Структурная схема микросистемы представлена на рисунке 1.1.


Рисунок 1.1 Структурная схема микросистемы

 


Принцип работы микросистемы состоит в следующем: номер опрашиваемого датчика формируется в одном из РОН, в основном в регистре В. В 8-ми разрядном регистре в 5-ти старших разрядах записываются единицы, а в трех младших разрядах - номер датчика. Первоначально в регистре В записано число F8h : 11111000 = F8h.

         При опросе каждого датчика содержимое регистра В увеличивается на 1. При опросе последнего датчика в регистре записывается число FF = 11111111. Добавление следующей 1 обнуляет регистр В. На выходе триггера нуля TZ появляется 1. Номер ячейки ОЗУ, в которую должен быть записан результат опроса датчика, содержится в паре РОН, например, в регистрах HL.

Рассмотрим алгоритм работы микросистемы. Пусть первая занятая ячейка ОЗУ имеет номер 1350h. В нее будет помещен результат опроса 1-го датчика с номером 000. Через аккумулятор и шину данных номер датчика подается на устройство вывода 1 (УВ1). УВ1 подает номер датчика на коммутатор. Коммутатор опрашивает нужный датчик и передает аналоговый сигнал на АЦП. АЦП преобразует аналог. сигнал в цифровой и передает цифровой сигнал на УВВ1. Если в первом такте импульсной последовательности Ф1 МП передает номер датчика, то во втором такте он ожидает прихода сигнала окончания преобразования от АЦП. Сигнал окончания равный 1 передается через УВВ2, через шину данных в аккумулятор. Наличие сигнала окончания аккумулятор проверяет операцией циклического сдвига вправо. Если сигнал окончания пришел, то при сдвиге вправо 1 из младшего разряда аккумулятора передается в триггер сдвига ТС, триггер сдвига устанавливается в состояние 1, и данные из устройства ввода 1 по шине данных передаются в аккумулятор, а из него в ячейку ОЗУ. Содержимое пары регистров HL и регистра В увеличивается. на 1, при этом формируется номер следующего датчика и номер ячейки, куда должен быть помещен следующий результат.

Алгоритм работы системы сбора данных представлен на рисунке 1.2.

Рисунок 1.2 Схема алгоритма работы микросистемы

 



Недостатками данной системы по отношению к проектируемой являются следующие:

- процессор использует 3 источника питания: 5В, 12В, -5В

- морально устарела – программное обеспечение не отвечает требованиям настоящего времени, а именно: не совместим с IBM PC

Техническими достоинствами проектируемого устройства являются более широкие возможности, которые предоставляет МП комплект К1810, в частности, усовершенствованная система команд МП К1810ВМ86, более высокое быстродействие данной микросистемы, высокая точность снимаемых показаний.

Проектируемое устройство также отличается хорошими экономическими и эксплуатационными показателями, расчет которых произведен в третьей части дипломного проекта.

 

1.2 Логический расчет подсистем проектируемой системы

 

1.2.1 Проектирование подсистемы памяти

В функциональном отношении память делится программную и память данных. Память программ технически реализуется на БИС ПЗУ, память данных - на БИС ОЗУ. В некоторых случаях программная память может частично перекрывать память данных. Т.е. её реализация на БИС ОЗУ.

При проектировании запоминающих устройств микросистем решают задачи разработки требуемого объёма и разрядности ОЗУ и ПЗУ: распределение требуемого объёма памяти между ОЗУ и ПЗУ в адресном пространстве системы; создание аппаратного интерфейса сопряжения модулей ОЗУ и ПЗУ с системной магистралью.

Полупроводниковые БИС ЗУ характеризуются разрядностью хранимых данных и ёмкостью памяти - объёмом. Требуемая разрядность проектируемого ЗУ обеспечивается наращиванием разрядности путём соединения параллельно адресуемых БИС, а требуемый объём - наращиванием объёма путём соединения последовательно адресуемых субблоков, каждый из которых реализуется на конечном числе БИС ЗУ. Такой подход приемлем при построении модульной памяти данных и программ.

БИС ЗУ с двунаправленными выводами данных и раздельными шинами адреса и данных наиболее просто сопрягаются с системной магистралью микроЭВМ. Байтная организация БИС ёмкостью 2кВ ячеек избавляет от наращивания разрядности. Двунаправленный ввод - вывод данных исключает на пути между БИС ОЗУ и шиной данных вспомогательный буфер. Обычно локальная шина данных БИС ОЗУ имеет управляемое третье состояние. Примером данной организации служит БИС ОЗУ статического типа К541РУ2. Адресация ячеек памяти выполняется младшей частью адресов А10 —А0, Выборка кристаллов ОЗУ происходит старшими адресами А15 – A11. Адресный селектор AD (Address Decoder) может иметь. максимальное число выходов 32, а следовательно, может выбирать 32 БИС ОЗУ аналогичного типа. Этот блок ОЗУ полностью покрыл бы всё адресное пространство в 64кВ. Примечательно и то что дополнительный вход CS1, объединённый конъюнктивно со входом CSO, получает управление от ключа &, реализующего дизъюнкцию переменных командных сигналов чтения и записи памяти MRDC, MWTC с низким активным уровнем. Это в свою очередь активизирует БИС по выборке только в моменты чтения либо записи. При одноразрядной организации кристаллов памяти БИС производится наращивание чипов (Chip) СП i (i=7 - 0), как показано на рисунке 1.3.


Рисунок 1.4

 

Рисунок 1.3

 

Раздельные входы-выходы данных требуют установки дополнительного буферного регистра, например КР580ИР82, разрешающего конфликтную ситуацию на шине данных. Как и ранее, адресный дешифратор выбирает блок ОЗУ ёмкостью 2кВ. На цикле чтения выбирается выходной буфер регистра. Благодаря инвертору формируется строб STB, что в свою очередь передаёт байт данных из выбранной ячейки через регистр на шину данных. При записи регистр заблокирован, а передаваемый байт из шины данных зафиксируется сигналом MWTC в выбранной ячейке. Организация интерфейса БИС ПЗУ с системной магистралью аналогично. Наиболее трудной задачей является проблема расчёта

адресного дешифратора.

Рисунок 1.5 Интерфейс БИС ПЗУ с системной магистралью

Для размещения модуля памяти заданной ёмкости, по заданному адресу необходимо определить функцию выборки CS конкретных БИС ЗУ в модуле памяти. Данную функцию лучше всего организовать применением ПЛМ ввиду простоты реализации и высокого быстродействия. В качестве ПЛМ применим БИС однократно программируемого ПЗУ К556РТ5. Оно имеет организацию 256х4 бит и открытый коллектор на выходах. В качестве ПЗУ применим БИС К573РФ5, а в качестве ОЗУ БИС К541РУ2. Рассчитаем функции селекции для каждой из них. Схема Электрическая принципиальная представлена в графической части дипломного проекта (Лист 1). Выходы Q2 – Q0 имеют открытый коллектор на выходах и подключены к шине +5В через подтягивающие резисторы. Именно они формируют выборку требуемого чипа памяти.

Для расчёта необходим стартовый адрес В и ёмкость С. Конечный адрес определяется по формуле:   

 

Е=В+С-1

Необходим указатель шкалы р который определяется по формуле:

 

р=2(4i+j)

где i - младший значащий 16-ричный разряд

j - младший двоичный значащий разряд внутри i-го 16-ричного

Далее определим количество бит адреса G необходимых для адресации модуля памяти по формуле:

 

G=16 – log2р

L - количество разрядов адресующих ячейки внутри БИС ЗУ.

Определяется по формуле:   L=log2C

Н - количество разрядов адресующих кристалл, определяется по формуле:

 

H=16-L

В результате получается следующая диаграмма распределения разрядов адресной шины представленная на Рисунке 1.6:


Рисунок 1.6 Диаграмма распределения разрядов шины адреса

Из диаграммы мы можем видеть следующее. Если указатель G больше Н то для однозначной адресации необходимо ещё к G добавить N разрядов адресной шины. Если G=5 т.е. р=С, а это идеальный случай, то дополнительные разрядов не нужно. И наконец третий случай, если G меньше Н то необходимо дополнительном разрядов адресной магистрали, ввиду того что ёмкость чипа памяти 2кВ и минимальное количество разрядов необходимых для однозначном адресации Н=5. Количество недостающих разрядов:

 

М=16-L-G

Количество вспомогательных разрядов:

 

N=G+L-16

Рассмотрим конкретный случай. Необходимо разместить модуль памяти ПЗУ ёмкостью 2кВ с адреса 1F00Н, и модуль ОЗУ ёмкостью 2кВ с адреса 2E00Н. Расчёт ведём отдельно для ОЗУ и отдельно для ПЗУ. Полученные результаты сведены в таблицу 1.1.


Таблица 1.1

N В Е Р G М N
1 1F00Н 26FFH 0100Н 8 0 3
2 2E00H 35FFH 0100Н 8 0 3

По полученным результатам строим карты адресации модулей памяти. Они представлены на рисунке 1.7 и рисунке 1.8.


Рисунок 1.7 Карта адресации ОЗУ



Рисунок 1.8 Карта адресации ПЗУ

В таблице 1.2 отмечены адреса памяти селектора PТ4, по которым записаны соответствующие константы. Так, например, стартовому адресу ROM 1F00Н соответствует ячейка памяти с адресом 1FН. По этому адресу должна быть записана константа ЕН, т.к. CS (ROM) равно значению Q0 и равно 0. Схема электрическая принципиальная приведена в графической части проекта (Лист 1).


Таблица 1.2

Адрес ПЗУ Состояние
80Н - 00Н 0FH
88Н - 81Н 0ЕН

90H - 89Н

0DH
А0Н - 91Н 0FH
A8H – A1H 0ВН
FFH - A9H 0FH

В случае если G будет скажем не 8, а б то тогда для упрощения можно уменьшить количество задействованных линий адреса подключаемых к БИС селектора РТ4 до б.

 

1.2.2 Проектирование модуля параллельного адаптера

Программируемый параллельный адаптер, обычно строится на БИС программируемого периферийного адаптера PPI (Programmable Peripheral Interface) KP580BB55. В структуре микросистемы PPI представляет достаточно мощные "руки". Три восьмибитных двунаправленных порта могут поддерживать связь микро-ЭВМ с внешней средой по 24-м линиям. Аппаратно интерфейс показан на рисунке 1.9. Из рисунка следует, что проектирование схемы сопряжения заключается в разработке адресного дешифратора AD (Addres decoder ). Предполагается, что адресное пространство ввода - вывода изолировано от пространства памяти микросистемы. Последний фактор упрощает интерфейс, Поскольку адресация портов адаптера со стороны микроЭВМ производится 8-битным адресом А7 – А0, либо А15 - А8. Старшая половина адресной шины в этом случае дублирует младшую часть. В более сложных микроконтроллерных системах, где процессор окружен множеством периферийных адаптеров, вместо адресного дешифратора, применяют программируемую БИС ПЗУ. Для проектирования адресного дешифратора требуется начальный адрес PPI, являющийся адресом порта А. Адресами портов В и С служат символические адреса PPI + 1 и PPI + 2, соответственно. Адресом регистра управления CR (Control Register ) есть мнемоника PPI+3. Таким образом в адресном пространстве в 256 байт ввода - вывода адаптер занимает четыре места.

Рисунок 1.9 Интерфейс адаптера с системной магистралью

Адресация адаптера выполняется старшей частью адресов А7 - А2, адресация портов, включая регистр управления, происходит по младшим линиям А1, А0. Учитывая это обстоятельство, можно составить карту адресов памяти адаптера. Карта адресов представляет многоуровневую вложенную структуру. На самом верхнем уровне должны быть физические адреса портов адаптера. Количество уровней вложений определяется выбранной структурой дешифратора.) Карта адресации адаптера с начальным физическим адресом 98Н и представлена на рисунке 1.10.



Рисунок 1.10. Карта адресации ППИ

Нижним уровнем карты есть все адресное пространство в 256 байт. Три старших адресных разряда А7 - А5 делят пространство на 8 равных частей по 32 байта. В пространство адресов ВСН – А0Н вложен второй уровень адресов. Этот уровень разделён адресами А4 - А2 также на 8 частей, но уже по 4 байта. На этом уровне просто выделяются адреса адаптера. Третьим верхним вложенным уровнем адресов, являются физические адреса четырех адресуемых объектов адаптера. Сигнал CS адаптера выделяется двумя нижними уровнями карты, а логика его порождения совсем простая.

В соответствии с последним уравнением схема включения адресного дешифратора представлена на рисунке 1.11.


Оставшиеся свободные выводы выходов дешифратора могут использоваться для выборки других чипов ( Chip Select).

Рисунок 1.11 Схема включения адресного дешифратора

Ниже приводится пример простейшей микроконтроллерной системы управления с использованием PPI по рассчитанным адресам.

 

 

Рисунок 1.12 Микроконтроллерная система   Рисунок 1.13 Алгоритм работы микроконтроллерной системы

Порт В должен быть запрограммирован на ввод, а младшая половина порта С - на вывод. При готовности объекта RDY=1 программно формируется строб STB, а затем пауза. После таких действий микроконтроллер снова сканирует готовность объекта.

Процедура управления достаточно проста. Директива РРI equ 098Н указывает программе на начальный адрес адаптера. В программе MS (Mode Select), BSR ( Bit Set Reset) - команды получаемые PPI со стороны микроконтроллера.

ORG 800H

OBJECT:   MVI A, CW1       ; инициализация адаптера

OUT PPI+3

WAIT:         IN PPI+1

ANI RDY :

JZ WAIT    ; проверка готовности объекта

MVI A, CW2

OUT PPI+3         ; установка строба

CALL DELAY    ; задержка

MVI A, CW3

OUT PPT+3        ; сброс строба

CALL DELAY    ; выдержка паузы

JMP WAIT          ; вернуться в начало

DELAY:     PUSH PSW         ; сохранить состояние

MVI A, TIME      ; загрузить величину задержки

CYCL:        DCR А       ;уменьшить счётчик

JNZ CYCL          ;если не 0 то повторить

POP PSW   ; восстановить состояние

RET ; возврат

PPI equ 098H      ; заданный физический адрес RDY equ 080Н ; маска готовности CW1 DB 82H      ; MS = 82H – инициализация CW2 DB 01 Н   ; ВSR = 01Н - установка РС0 CW3 DB 00Н       ; BSR= 00Н - сброс РС0


1.3 Разработка алгоритма функционирования проектируемой системы

Алгоритм функционирования системы представлен на рисунке 1.14

Рисунок 1.14 Алгоритм работы микросистемы


1.4 Проектирование прикладного программного обеспечения проектируемой системы

 

На основании алгоритма функционирования проектируемой системы составляется программа управления устройством. Программа написана на языке низкого уровня Assembler. Листинг программы с комментариями и пояснениями приведен ниже.

masm

model small

.stack 100h                             ; Глубина стека 256 байт

.data                                       ; Сегмент данных

         cwd equ 82h                  ; Управляющее слово

         portA equ 98h              ; Aдрес порта А

         portB equ 99h               ; Адрес порта В

         portC equ 9Ah              ; Адрес порта С

         ppiCR equ 9Bh             ; Адрес регистра управления

         start_1 equ 01h             ; Управляющий уровень START_1

         start_0 equ 00h             ; Управляющий уровень START_0

; Основная программа

.code                                       ; Сегмент кода программы

         mov bx, 2E00h             ; Загрузка начального адреса RAM в регистр BX

         mov sp, 0F00h              ; Загрузка указателя стека SP       

mov cx, 00FFh              ; Загрузка в регистр CX количества циклов   

mov dx, ppiCR             ; Формирование адреса РУС ППИ

mov al, cwd                            ; Формирование управляющего слова

out dx, al                       ; Загрузить в PPI управляющее слово

mov dx, portC              ; Формирование адреса порта С

mov al, 00h                            ; ALß00h

out dx, al                       ; Обнуление порта С

start: mov al, start_0              ; ALßSTART_0

         out dx, al                       ; Формирование START=0

call delay                       ; Вызов подпрограммы DELAY

wait:  in al, portB                   ; Проверка готовности

         cmp al, 00h                            ; Сравнение al с числом 00h

jnz wait                         ; Если не равно, то перейти на wait

in al, portA                   ; ALßD0...D7

in ah, portB                            ; AHßD8, D9

mov [bx], al                            ; Сохранить младшую часть результата в ОЗУ

inc bx                                     ; Увеличить bx на 1

mov [bx], ah                 ; Сохранить старшую часть результата в ОЗУ

inc bx                                     ; Увеличить bx на 1

mov al, start_1              ; ALßSTART_1

out dx, al                       ; Формирование START=1

call delay                       ; Вызов подпрограммы DELAY

dec cx                            ; Уменьшить счетчик циклов на 1

jnz start                         ; Если не ноль, то перейти на start

hlt                                 ; Остановка

; Подпрограмма временной задержки DELAY

delay:         push f                                     ; Сохранить в стеке содержимое регистра флагов

cycle: mov ax, ffffh                 ; Загрузка в AX расчетной величины FFFFh

         dec ax                            ; Уменьшить АХ на 1

jnz cycle                        ; Если не ноль, то перейти на cycle

pop f                             ; Восстановить содержимое регистра флагов

ret                                 ; Возврат в основную программу

end
1.5 Выбор и обоснование элементов микропроцессорного комплекса

 

1.5.1 Общие сведения

Микропроцессорный комплект серии К1810 включает в свой состав следующие БИС:

К1810ВМ86 – однокристальный 16-разрядный МП с быстродействием до 2,5 млн. оп./с, емкостью адресуемой памяти 1 Мбайт и системой команд, совместимой с системой команд КР580ВМ80А на ассемблере;

К1810ВМ87 – однокристальный 16-разрядный сопроцессор арифметики с плавающей точкой;

К1810ВМ88 – однокристальный 8-разрядный МП с быстродействием примерно в 2 раза большим, чем у К1812ВМ85А, и в 5 раз, чем у КР580ВМ80А, емкостью адресуемой памяти 1 Мбайт и системой команд, идентичной системе команд К1810ВМ86;

К1810ВМ89 – однокристальный 16-разрядный сопроцессор ввода/вывода;

К1810ГФ84 – генератор тактовых сигналов;

К1810ВН59А – программируемый контроллер прерываний;

К1810ВГ88 – системный контроллер;

К1810ВБ89 – арбитр шины;

БИС МП комплекта могут использоваться совместно с программируемыми периферийными БИС МП комплекта серии К580.

В составе семейства предусмотрены по два типа универсальных и специализированных однокристальных микропроцессоров. Универсальные микропроцессоры предназначены для построения центральных процессоров, выполняющих функции главного процессора микропроцессорной системы. Оба процессора 16-разрядные, адресуют память объемом 1 Мбайт и адресное пространство внешних устройств объемом 64 Кбайта, имеют совмещенную шину данных/адресов и отличаются разрядностью шины. Микропроцессор К1810ВМ86 имеет 16-разрядную совмещенную шину данных/адресов и отдельную 4-разрядную шину адресов, он предназначен для построения 16-разрядных систем. Микропроцессор К1810ВМ88 имеет 8-разрядную совмещенную шину данных/адресов и отдельную 12-разрядную шину адресов, он предназначен для построения 8-разрядных микропроцессорных систем. Специализированные микропроцессоры ориентированы для расширения функциональных возможностей центральных процессоров. Один из них 8-разрядный арифметический сопроцессор (АСП) К1810ВМ87 расширяет вычислительные возможности центральных процессоров (типы обрабатываемых данных и систему команд). Другой 16-разрядный процессор ввода/вывода (ПВВ) К1810ВМ89 предназначен для построения 8- и 16-разрядных каналов ввода/вывода. Процессор позволяет управлять двумя каналами ПДП.

 

1.5.2 Микропроцессор К1810ВМ86

К наиболее важным особенностям К1810ВМ86 относятся следующие: развитая регистровая структура, существенно уменьшающая число обращений к памяти; конвейерный принцип выполнения команд с предварительной выборкой, обеспечивающей максимальную пропускную способность системной магистрали; распределенное микропрограммное устройство управления; мультиплексированная шина адреса/данных; многофункциональное использование выводов, позволяющее адаптировать МП к уровню сложности разрабатываемой системы; способность координировать взаимодействие нескольких процессоров, что упрощает построение на его основе мультипроцессорных систем. В последних возможно применение процессоров двух типов: независимых, т.е. выполняющих собственный поток команд (К1810ВМ86), и вспомогательных – сопроцессоров (например, К1810ВМ87, К1810ВМ89). Сопроцессор анализирует команды, выбираемые главным (независимым) процессором, и выполняет те, на которые распространяется его специализация.

МП К1810ВМ86 ориентирован на параллельное выполнение выборки и команд, может быть условно разделен на две части, работающие асинхронно (рисунке 1.15): устройство сопряжения с магистралью (УС) и устройство обработки (УО).


Устройство сопряжения с магистралью обеспечивает формирование 20-разрядного физического адреса памяти, выборку команд и операндов из памяти, организацию очередности команд и запоминание результатов в памяти. В состав УС входит шесть 8-разрядных регистров очереди команд, четыре 16-разрядных сегментных регистра, 16-разрядный регистр адреса команд, 16-разрядный регистр обмена (РО) и 16-разрядный сумматор адреса (СМА), управление шиной (УШ).

Устройство сопряжения готово выполнить цикл выборки слова и памяти всякий раз, когда в очереди освобождаются по меньшей мере два байта, УО извлекает из нее коды команд по мере необходимости. Очередь организована по принципу FIFO (first in – first out) - «первым пришел – первого обслужили», а шесть ее уровней позволяют удовлетворять запросы УО в кодах команд достаточно эффективно, сокращая тем самым до минимума затраты времени МП на ожидание выборки команд из памяти. Выполнение команд происходит в логической последовательности, предписанной программой, поскольку в очереди находятся те команды, которые хранились в ячейках памяти, непосредственно следующих за текущей командой. При передаче управления в другую ячейку памяти ход выполнения программы нарушается. Устройство сопряжения очищает регистры очереди, выбирает команду по адресу перехода, передает ее в УО и начинает новое заполнение этих регистров. При возврате из подпрограммы или из прерывания происходит восстановление очереди команд, адреса которых автоматически вычисляются в СМА. Если МП необходимо выполнить цикл чтения или записи, то выборка команд приостанавливается на время цикла.

Сегментные регистры соответствуют четырем сегментам памяти: данных – DS, стека – SS, кода – CS, и промежуточных данных – ES. В каждом из этих регистров хранятся 16 старших разрядов кода адреса соответствующего сегмента памяти; 20-разрядный физический адрес, позволяющий работать с памятью емкостью до 1 Мбайт, образуется в СМА путем сдвига базового адреса сегмента на четыре разряда влево и сложения его с 16-разрядным адресом смещения. Адрес смещения находится в одном из регистров указателей или индексов УО и позволяет адресовать полученные байты или слова в пределах 64 Кбайт выбранного сегмента. Если полученное в СМА значение превышает значение самого старшего адреса сегмента, то физический адрес вновь отсчитывается от начала сегмента до значения превышения. При вычислении физического адреса операнда в качестве базового адреса используется содержимое регистров сегмента данных и сегмента промежуточных данных, а при вычислении физического адреса команды – содержимое регистра сегмента кода. Регистр адреса команд (указатель команд) IP соответствует счетчику команд микропроцессора К580ВМ80А и указывает следующую команду, которая будет выполняться после текущей команды. Устройство сопряжения записывает в него из УО смещение следующей команды от начала текущего сегмента кода. Если содержимое регистра адреса команд засылается в стек, то происходит автоматическая настройка его на адрес следующей команды.

Устройство обработки предназначено для выполнения операций по обработке данных и состоит из устройства микропрограммного управления (УМУ), 16-разрядного АЛУ, восьми 16-разрядных регистров общего назначения (РОН) и регистра признаков (РП). Команды, выбранные УС из памяти и записанные в регистры очереди команд (РОК), по запросам от УО поступают в УМУ. Это устройство, содержащее память микрокоманд, декодирует команды и вырабатывает последовательность микрокоманд, управляющую процессом обработки. В АЛУ выполняются арифметические и логические операции над 8- и 16-разрядными числами с фиксированной запятой. Для ускорения внутренних пересылок данных все регистры и магистрали данных в УО имеют 16 разрядов, прямой связи УО с внешней системной магистралью нет и оно обменивается данными с УС через регистр обмена (РО).

Программно-доступными функциональными частями МП являются регистры общего назначения (для хранения операндов и результатов выполнения команд), сегментные (для хранения базовых адресов текущих сегментов памяти), адреса команд и признаков. Регистры общего назначения разбиты на две группы по четыре регистра в каждой: данных, индексные и указатели. Старшие и младшие восемь разрядов группы регистров данных могут быть адресованы раздельно. В этом случае они образуют набор из восьми 8-разрядных регистров. Регистры данных можно использовать без ограничения в большинстве арифметических и логических операций. Другая группа регистров, включающая в себя два указателя (базы и стека) и два индексных регистра (источника и приемника), также может участвовать в большинстве арифметических и логических операций. Кроме того, в некоторых командах предполагается неявное использование РОН по следующему назначению: умножение, деление и ввод/вывод слов (АХ); умножение, деление и ввод/вывод байтов (АН); перекодирование (ВХ); операции со строками, циклы (СХ); сдвиги и циклические сдвиги на различное число разрядов (СL); умножение и деление слов, неявный ввод/вывод (DX); операции со стеком (SP); операции со строками (SI, DI).

В регистре признаков используются только девять разрядов. Шесть из них служат для регистрации особенностей результата арифметических и логических операций. Микропроцессор имеет группу команд, которые позволяют изменять порядок выполнения программы в зависимости от состояния этих шести разрядов, т.е. от результата предыдущей операции. Признак вспомогательного переноса AF используется командами десятичной арифметики. При переносе из третьего разряда в четвертый либо займе из четвертого разряда в третий младшего байта 16-разрядного числа признак AF устанавливается в 1. Признак переноса CF используется командами сложения и вычитания многобайтных чисел. При переносе или замене из старшего разряда результата признак CF устанавливается в 1. Команды циклического сдвига могут изолировать сдвигаемый разряд в памяти или регистре путем размещения его в разряде признака переноса.

Команда INTO вырабатывает программное прерывание при наличии признака переполнения OF. Если OF равен 1, значит произошло арифметическое переполнение (т.е. утеряна значащая цифра) и разрядность результата превышает разрядность приемника результата. В МП двоичные отрицательные числа представляются в дополнительном коде. Состояние 0 или 1 признака знака SF говорит о том, что результат соответственно положительный или отрицательный. Признак нечетности PF используется для обнаружения сбоев при передаче данных (1 говорит о четности результата). Если признак нуля ZF равен 1, значит результат операции равен 0.

В регистре признаков имеется еще три разряда, которые можно использовать для управления действиями МП путем записи в них 0 или 1. Запись 1 в разряд признака направления DF вызывает автодекремент при выполнении операций со строками данных. Это означает, что строки обрабатываются от старших адресов к младшим адресам (справа налево). Запись 0 в DF вызывает автоинкремент, т.е. обработку строк слева направо. Если признак разрешения прерывания IF равен 1, то МП реагирует на внешние маскируемые запросы прерывания. Запись 0 в IF запрещает эти прерывания. При этом IF не влияет на внутренние и немаскируемые прерывания. Для перевода МП в пошаговый режим выполнения программы необходимо записать 1 в разряд признака пошагового режима TF. В этом режиме после выполнения каждой команды МП автоматически генерирует прерывание.

Распределение сигналов по выводам приведено на рисунке 1.16.


Рисунок 1.16 Микропроцессор К1810ВМ86

 

Функциональное назначение выводов микропроцессора К1810ВМ86 приведено в табл. 1.3.

Вывод Обозначение Функциональное назначение
1, 20 OV Общий
16-2, 39 AD0-AD15 Шина адреса/данных
17 NMI Немаскируемый запрос прерывания
18 INTR Маскируемый запрос прерывания
19 CLK Тактовые импульсы
21 CLR Установка (сброс)
22 RDY Готовность
23 TEST Вход, проверяемый по команде
32 RD Чтение
33

Минимальный/Максимальный режим
34 BHE/S7 Разрешение передачи по старшим разрядам D8-D15 шины данных/состояние
38-35 A16/S3-A19/S6 Старшие разряды адреса/состояние
40 +5V Напряжение питания

Минимальный режим

24 INTA Подтверждение прерывания
25 STB Строб адреса
26 DE Разрешение обмена данными
27 ID/OD Ввод данных/Вывод данных
28 M/IO Память/Внешнее устройство
29 WR Запись
30 HLDA Разрешение прямого доступа
31 HOLD Запрос прямого доступа (захвата шины)

Максимальный режим

25, 24 QS0, QS1 Состояние очереди команд
26-28

Тип цикла обмена
29 LOСK Сигнал блокировки, индицирующий, что другое устройство не может занять системную магистраль
31, 30 RQ/E0, RQ/E1 Запрос/Разрешение доступа к шине

Табл. 1.3. Функциональное назначение выводов МП К1810ВМ86

Вход служит для выбора режима функционирования, который предлагает пользователю выбор состава выходных сигналов в соответствии со степенью сложности проектируемой МП системы. В минимальном режиме (вывод  подсоединен к шине питания), ориентированном на малые вычислительные системы, МП выдает сигналы управления обменом с памятью и внешними устройствами, а также обеспечивает доступ к системной магистрали по запросу прямого доступа к памяти, используя сигналы HOLD и HLDA. Если вывод  подключен к шине «Земля» (общий), то МП находится в максимальном режиме и может работать в сложных одно- и многопроцессорных системах. При работе в этом режиме изменяются функции ряда выводов МП. Восемь сигналов состояния, которые выдает МП, могут быть использованы внешними устройствами. Сигналы на выводах определяют тип цикла обмена по шине адреса/данных. Эти сигналы состояния МП декодируются системным контроллером К1810ВГ88, и он выдает расширенный набор сигналов управления обменом. Сигналы на выводах S3, S4 определяют, какой сегментный регистр используется в текущем цикле обмена для вычисления физического адреса ячейки памяти. Сигнал на выводе S5 определяет состояние триггера разрешения прерывания регистра признаков, на выводе S6 всегда находится в состоянии 0, а на выводе S7 является вспомогательным сигналом и его состояние в процессе работы МП не определено. В максимальном режиме МП выдает на выводы QS0 и QS1 сигналы состояния очереди команд, предназначенные для того, чтобы внешние процессоры могли принимать от МП команды и операнды с помощью команды ESC. Сигналы, полученные в текущем такте, описывают состояние очереди в предыдущем такте. Отслеживание состояния очереди команд позволяет обрабатывать расширение системы команд с помощью сопроцессора.

Система команд МП К1810ВМ86 содержит 135 команд, подразделяющихся по функциональному назначению на команды передачи данных, арифметические, поразрядной обработки данных, обработки строк данных, передачи управления, управления процессором.

Команды передачи данных (общего назначения, пересылки адреса и признаков, ввода/вывода и перекодирования) обеспечивают пересылку операнда-источника на место операнда-приемника без содержательного их преобразования. Арифметические команды (сложения, вычитания, умножения, деления и преобразования форматов данных) предназначены для выполнения операций над 8- и 16-разрядными целыми двоичными числами с фиксированной и плавающей точками, упакованными и распакованными двоично-десятичными числами. Команды поразрядной обработки данных выполняют логические операции булевой алгебры, операции линейных и циклических сдвигов вправо и влево. Команды обработки строк данных позволяют не только производить расчеты, но и обрабатывать тексты. Длина обрабатываемых строк может достигать 64 Кбайт. Команды передачи управления позволяют осуществлять безусловные переходы, вызовы и возвраты, условные переходы, управление циклами и обслуживание прерываний. Команды управления процессором обеспечивают управление состоянием определенных разрядов регистра признаков, а также используются для синхронизации работы с внешними событиями и процессорами.


1.5.3 Микропроцессор К1810ВМ88

Архитектура МП ВМ88 тождественна архитектуре ВМ86. Программное обеспечение одного МП может быть использовано другим без изменения. Он имеет архитектуру, практически идентичную структуре МП К1810ВМ86, и отличается уменьшенным до четырех числом регистров очереди команд и 8-разрядной внешней шиной данных (внутренняя структура, как и у К1810ВМ86, 16-разрядная). Для передачи данных используются младший байт шины адреса/данных, а старший байт шины, как и в МП К1821ВМ85А, - для передачи адреса. По системе команд МП абсолютно идентичен К1810ВМ86. Все программные усовершенствования К1810ВМ86 можно переносить на системы, построенные на основе К580ВМ80А и К1821ВМ85А, простой установкой платы нового центрального процессора на основе К1810ВМ88. Необходимо лишь согласовать временные параметры отдельных элементов. Из-за увеличения вдвое времени выборки 16-разрядных слов производительность К1810ВМ88 будет ниже, чем у его 16-разрядного прототипа, но не обязательно в 2 раза. Так, время обработки однобайтовых операндов с помощью К1810ВМ88 только на 5% меньше. Функциональное назначение выводов К1810ВМ88 и К1810ВМ86 практически совпадает.

В процессоре ВМ88 длина очереди уменьшена до 4 байт, тогда как в ВМ86 она составляет 6 байт или 3 слова. Длина очереди была сокращена для уменьшения времени занятия системной магистрали блоком сопряжения, необходимого для заполнения очереди. Вместе с этим был оптимизирован алгоритм предварительной выборки. Так, если в ВМ86 новое слово программного кода считывается из памяти и вводится в буфер всякий раз, когда в очереди освобождается два байта, то в ВМ88 новый байт программного кода пересылается в буфер при наличии в нем хотя бы одного места. Алгоритм такого типа сглаживает возможные колебания длины очереди, обеспечивая практически постоянное ее заполнение.


1.5.4 Генератор тактовых импульсов ГФ84

Микросхема К1810ГФ84 является однокристальным ГТИ, специально спроектированным для МП К1810ВМ86. В состав микросхемы (рисунке 1.17) входит стабилизируемый кварцем генератор, делитель на 3, а также логика синхронизации сигналов готовности и сброса.

Рисунок 1.17 Структурная схема ГТИ ГФ84

Встроенный в БИС генератор рассчитан для работы с внешним кварцевым резонатором, который подключается к входу Х1 и выходу Х2. Частота подключаемого кварцевого резонатора должна быть в 3 раза больше, чем требуемая МП частота CLK. Для достижения наиболее стабильной работы входных цепей генератора рекомендуется точки Х1 и Х2 подключить к общей шине через резисторы сопротивлением 510 Ом. Полезно также последовательно с кварцевым резонатором подключить конденсатор небольшой емкости (около 15 пФ). Выход генератора подключается непосредственно к выводу OSC, так что внешние средства при необходимости могут воспользоваться основной тактовой частотой ГТИ.

Основная системная частота CLK получается путем деления частоты OSC на 3. Длительность импульсов на выходе CLK составляет 1/3 периода, что соответствует требованиям, предъявляемым МП. Еще один выходной синхросигнал PCLK представляет собой меандровую последовательность импульсов с частотой, равной половине частоты CLK. В случае стандартного значения частоты CLK 5 МГц, частота PCLK составляет 2,5 МГц. Этот синхросигнал предназначен для формирования основной тактовой последовательности CCLK для ПУ. Установочный вход CSYNC позволяет синхронизировать CLK и PCLK , например, с другим ГТИ.

Микросхема предусматривает возможность работы от внешнего генератора импульсов OSC, которые подаются на вход EFI. Выбор между внутренним и внешним генератором OSC реализуется с помощью управляющего входа . При  выбирается внутренний генератор, в противном случае – внешний.

Логика формирования сигнала сброса RESET включает триггер Шмидта и синхронизирующий D-триггер, срабатывающий по срезу CLK. Применение на входе RES пороговой схемы позволяет формировать сигнал сброса при нажатии клавиши RESET с помощью простой RC-цепочки. Полученный на выходе RESET сигнал сброса соответствует требованиям, накладываемым на него МП К1810ВМ86.

Логика формирования сигнала готовности READY предусматривает синхронизацию входного сигнала готовности RDY1 или RDY2. Выбор входа осуществляется управляющими сигналами  и . Симметричность пары RDY,  допускает использование в качестве входа готовности инверсную линию , тогда как прямая линия RDY может служить управляющей.

Различают два типа входных сигналов готовности: асинхронный и синхронный, в соответствии с которыми предусматриваются и два типа синхронизации. Выбор типа синхронизации осуществляется по уровню напряжения на линии . При  реализуется двухступенчатая логика синхронизации асинхронного сигнала готовности, в противном случае – одноступенчатая логика синхронизации синхронного сигнала готовности.

В случае двухступенчатой синхронизации () переход входного сигнала готовности из 0 в 1 будет синхронизироваться сначала по фронту, а затем по срезу CLK. Переход входного сигнала из 1 в 0 будет синхронизироваться только по срезу CLK. Такая схема синхронизации ориентирована на использование с асинхронными системными каналами с неготовым по умолчанию сигналом ответа .

При одноступенчатой синхронизации () входной сигнал готовности тактируется только срезом CLK. Этот способ применим в системах, которые гарантируют синхронность формирования сигнала подтверждением обмена или готовности.

Вход  имеет встроенный резистор, подключенный к шине питания. Поэтому допускается вход  оставлять свободным, что соответствует ситуации .

Микросхема К1810ГФ84 упакована в 18-выводный корпус типа 2104.18. Условное обозначение и распределение сигналов по выводам приведено на рисунке 1.18.

Рисунок 1.18 Условное графическое обозначение ГФ84


1.5.5 Параллельный программируемый интерфейс КР580ВВ55А

БИС КР580ВВ55А выполнена по nМОП-технологии, питается от источника +5В и потребляет ток 120 мА. Микросхема представляет собой программируемый параллельный интерфейс на 24 линии ввода/вывода с нагрузочной способностью 2,5 мА. Микросхема содержит два 8-разрядных и два 4-разрядных порта ввода/вывода, объединенных в две группы по 12 разрядов каждая. Интерфейс программируется на 3 режима работы: режим 0 – простой ввод/вывод, режим 1 – стробируемый ввод/вывод, режим 2 – двунаправленный канал ввода/вывода. В режиме 0 каждую группу из 12 линий ввода/вывода можно запрограммировать на нестробируемый ввод или вывод. В режиме 1 каждую группу можно запрограммировать на стробируемые ввод или вывод, при этом 8 выводов используются для передачи данных, а оставшиеся 4 вывода – для управления обменом. В режиме 2 используется только одна группа выводов, которая реализует двунаправленный 8-разрядный канал обмена, управляемый сигналами пяти выводов.

Микросхема организована на основе двунаправленной 8-разрядной шины данных и содержит порты ввода/вывода А, В, С, регистр управляющего слова (РУС), блок сопряжения с системной шиной. Порты А и В – 8-разрядные, порт С состоит из двух 4-разрядных портов. Порты сопрягаются с ВУ с помощью выводов портов РА7…РА0, РВ7…РВ0 и РС7…РС0, программируемых на ввод или вывод. Порт А содержит 8-разрядный выходной регистр с выходными формирователями и 8-разрядный входной регистр с входными формирователями. Он может работать на ввод или вывод 8-разрядных слов во всех трех режимах. Порт В состоит из 8-разрядного регистра ввода/вывода, входных и выходных формирователей и его можно использовать на ввод или вывод 8-разрядных слов в двух режимах: 0 и 1. Порт С состоит из двух 4-разрядных регистров (CH и CL). Каждому регистру соответствует своя группа входных и выходных формирователей, используемых для ввода/вывода 4-разрядных слов в режиме 0. При работе портов А, В в режимах 1 или 2 выводы порта С используются для приема и выдачи управляющих сигналов обмена, а регистр порта С выполняет функции регистра состояния.

Регистр управляющего слова содержит информацию, которая настраивает порты на ввод или вывод в одном из трех режимов его работы. Обмен с портами ввода/вывода и регистром управляющего слова осуществляется через трехстабильную шину данных D7...D0 под управлением сигналов, подаваемых на входы выборки , адреса А1, А0 и чтения/записи . При подаче на вход RES напряжения высокого уровня регистр управляющего слова устанавливается в состояние, при котором все каналы настраиваются на режим 0 для ввода информации (все шины портов А, В, С переходят в высокоомное состояние). При снятии сигнала со входа RES содержимое РУС не изменяется и соответственно не изменяется режим работы интерфейса.

БИС ориентирована на сопряжение с раздельными системными шинами. Схема ее сопряжения с системными шинами процессора К1810ВМ86 показана на рисунке 1.19.

Рисунок 1.19 Схема сопряжения ВВ55 и МП ВМ86


Выбирается БИС по сигналу, формируемому адресным селектором (АС). В схеме БИС подключена к младшим линиям шины данных и выбирается при А0 = 0. Входы А1, А0 БИС подключены к линиям А2, А1 адресной шины. Адресный селектор дешифрирует адрес с линий А15…А3 адресной шины, обеспечивая доступ совместно с линиями А2, А1 к адресному пространству объемом 64 Кбайта. При размещении БИС на линиях D15...D8 шины данных для разрешения селектора используется сигнал выборки старшего байта с линии .

Режим работы и направление обмена с ВУ программируется управляющими словами. Управляющее слово режима устанавливает режимы работы групп А или В и режим ввода или вывода для каждого порта. Управляющее слово поразрядной установки/сброса порта С используется для поразрядного ввода информации и для начальной установки состояния отдельных разрядов порта С при его использовании для управления обменом в режимах 1, 2. Управляющие слова выводятся на интерфейс при А1, А0 = 11 и различаются значением разряда 7 (1 – управляющее слово режима, 0 – управляющее слово установки/сброса порта С). Режимы работы портов А и В устанавливаются автономно и независимо, а режим работы порта С зависит от режимов работы каналов А и В. При каждом изменении режима работы любого из портов все входные регистры портов сбрасываются в состояние логического 0. При установке режимов 1, 2 это приводит к обнулению всех разрядов регистра состояния (регистра порта С), и поэтому необходимо осуществлять требуемую начальную установку разрядов порта в соответствии с режимом работы портов А, В.

Программное обеспечение ввода/вывода через интерфейс на БИС КР580ВВ55А содержит программу начальной установки БИС (программирование режима и направления обмена), обычно располагаемую в подпрограмме инициализации, и подпрограммы ввода/вывода. Для программирования БИС в системе на БИС К1810 необходимо сформировать управляющее слово в регистре-аккамуляторе и выполнить его вывод по адресу БИС КР580ВВ55А при А1, А0 = 11 (РУС) в области ВУ объемом 256 байт. При расположении БИС в полном объеме адресного пространства ВУ 64 Кбайт перед выводом управляющего слова необходимо сформировать адрес ВУ в регистре DX.

Программирование ППИ КР580ВВ55А в системе команд МП 1810

MOV DX,PPIRCW       ; Формирование адреса РУС ППИ

MOV AL,ICW              ; Формирование управляющего слова

OUT DX,AL                 ; Вывод на ППИ

 

1.5.4 АЦП К1113ПВ1

Известно большое число методов аналого-цифрового преобразования, существенно отличающихся друг от друга потенциальной точностью, скоростью преобразования и сложностью аппаратной реализации. По методам преобразования АЦП подразделяются на последовательные, параллельные и последовательно–параллельные. Недостатком последовательных АЦП является низкая помехоустойчивость результатов преобразования.

БИС АЦП К1113ПВ1 выполнена по nМОП-технологии, питается от источников питания +5В и -15В и потребляет токи 10 и 18 мА соответственно. Микросхема, выбранная для проектируемого устройства, представляет собой функционально законченный 10-разрядный АЦП последовательного приближения с временем преобразования 30 мкс.

Назначение выводов БИС показано на рисунке 1.20.


Рисунок 1.20 Назначение выводов К1113ПВ1

АЦП обеспечивает преобразование как однополярного напряжения (вывод 15 соединяется с выводом 16) в диапазоне 0...9,95 В, так и биполярного напряжения в диапазоне -4,975...+4,975 В в параллельный двоичный код. В состав ИС входят ЦАП, компаратор напряжения регистр последовательного приближения (РПП), источник опорного напряжения (ИОН), генератор тактовых импульсов (ГТИ), выходной буферный регистр с тремя состояниями, схемы управления. Выходные каскады с тремя состояниями позволяют считывать результат преобразования непосредственно на шину данных микропроцессора или микроконтроллера. По уровням входных и выходных логических сигналов сопрягаются с ТТЛ схемами. В ИС выходной ток ЦАП сравнивается с током входного резистора от источника сигнала и формируется логический сигнал РПП. Стабилизация разрядных токов ЦАП осуществляется встроенным ИОН. Тактирование РПП обеспечивается импульсами встроенного ГТИ с частотой следования 300...400 кГц. Установка РПП в исходное состояние и запуск его в режим преобразования производится по внешнему сигналу "гашение и преобразование". По окончанию преобразования АЦП вырабатывает сигнал "готовность данных" и информация из РПП поступает на цифровые входы через каскады с тремя состояниями. Корпус К1113ПВ1(A-B) типа 2104.18-1, масса не более 2,5 г, 1113ПВ1(A-B) типа 238.18-1, масса не более 2,5 г.


На рисунке 1.21 приведена функциональная схема АЦП.

Рисунок 1.21 Функциональная схема АЦП

В таблице 1.4 приведены электрические параметры устройства.

Таблица 1.4

1

Номинальное напряжение питания Uп1 Uп2

 5 В plus minus5 % -15 В plus minus5 %

2 Выходное напряжение низкого уровня не более 0,4 В
3 Выходное напряжение высокого уровня не менее 2,4 В
4 Напряжение смещения нуля в однополярном и биполярном режимах от полной шкалы

plus minus0,3%

5

Ток потребления от источника питания Uп1 от источника питания Uп2

не более 10 мА не более 18 мА
6 Входной ток высокого (низкого) уровня

plus minus40 мкА

7 Ток утечки на выходе

plus minus40 мкА

8 Время преобразования не более 30 мкс
9 Нелинейность от полной шкалы 1113ПВ1А 1113ПВ1Б 1113ПВ1В К1113ПВ1А К1113ПВ1Б К1113ПВ1В

 plus minus0,075% plus minus0,2% plus minus0,075% plus minus0,1% plus minus0,2% plus minus0,4%

10 Абсолютная погрешность преобразования в конечной точке шкалы от полной шкалы

plus minus0,4%

Зарубежными аналогами данной микросхемы AD571S, AD571K но эти микросхемы ни чем не превосходят отечественные преобразователи.

1.6 Принцип работы аппаратно-программных средств проектируемой системы

 

Цикл АЦП выполняется при нулевом значении сигнала на входе . По истечении 30 мкс на выводе формируется сигнал готовности низкого уровня, а на выводы D10...D1 выставляется цифровой код, эквивалентный значению входного сигнала. В остальное время выходы находятся в третьем состоянии. Цикл преобразования заканчивается при , а последующий цикл можно начать не ранее, чем через 2 мкс. По этому принципу построен алгоритм управляющей программы.

Структура аналогово-цифрового интерфейса на БИС АЦП К1113ПВ1 и ППИ КР580ВВ55А представлена на Рисунок 1.14, а временные диаграммы его работы – на рисунке 1.22


Рисунок 1.22 Структура АЦП интерфейса на БИС К1113ПВ1

 
.


Рисунок 1.23 Временные диаграммы работы АЦП интерфейса на БИС К1113ПВ1

АЦП может работать в диапазонах входного сигнала 0…10, 24В или -5…+5В. Переключатель П предназначен для выбора диапазона преобразования. Резистором R1 можно регулировать шкалу преобразования. Если диапазон входного аналогового сигнала составляет , то сопротивление R1 выбирается в пределах 5…50 Ом, если диапазон входного сигнала 0…10В, то сопротивление R1 от 100 до 200 Ом.

 


2 Аппаратно-программные средства контроля и диагностики устройства

 

2.1 Аппаратные средства контроля микросистем

 

Назначение: При помощи данных измерительных приборов возможна полная наладка и подготовка устройства к работе, а также профилактика в дальнейшем

2.1.1 Логический пробник (одноконтактный)

Однокристальный логический пробник – прибор для индикации двоичного состояния элементов дискретных схем (см. рисунок 2.1).

Задача логического пробника – упростить проверку логических схем, давая пользователю возможность наблюдать логические уровни без настройки и калибровки, которые необходимы при измерениях с помощью осциллографов.

Очень важным достоинством логических пробников является возможность работы с различными ИС, например, ЭСЛ, ТТЛ и др. Это очень удобно при эксплуатации вычислительных систем, где, как правило, используются различные комплексы ИС.

Важное качество пробника – это четкость и однозначность показаний.

Основные преимущества логических пробников – компактность, возможность работы в труднодоступных местах, питание от источника проверяемого логического устройства, удобство работы.


Рисунок 2.1 Логический пробник (режим запоминания одиночных импульсов)

 

2.1.2 Осциллограф (С1-65А)

Осциллограф – это контрольно-измерительный прибор для измерения параметров сигналов.

Осциллографы компонуют с другими измерительными приборами для повышения их эффективности при эксплуатации, например с мультиметром, приставкой для подсчета логических переключений, цифровым индикатором для отсчета значений напряжений и временных параметров.

1. Основные сведения:

1.1  Осциллограф универсальный С1 - 65А предназначен для исследования формы электрических сигналов путем визуального исследования и измерения их амплитуды и временных параметров.

1.2               Осциллограф может эксплуатироваться в следующих условиях:

а) температура окружающего воздуха от 243 К ( - 30 С) до 323 К (+50 С);

б) относительная влажность окружающего воздуха до 98% при температуре до 308 К ( +35 С);

в) атмосферное давление 1004 кПа.

1.3 Осциллограф удовлетворяет требования ГОСТа 22261 – 76 и 22737 – 77.

По точности воспроизведения формы сигнала, точности измерения временных интервалов и амплитуд осциллограф С1 – 65А относится ко II классу ГОСТа 22737 – 77.

2. Технические данные:

2.1 Рабочая часть экрана осциллографа:

по горизонтали – 80 мм ( 10 делений)

по вертикали – 64 мм (8 делений)

2.2  Минимальная частота следования развертки, при которой обеспечивается наблюдение исследуемого сигнала на наиболее быстрой развертки , не более 50 Гц.

2.3  Нормальный диапазон амплитудно-частотной характеристики тракта вертикального отклонения находиться в пределах от 0 до 10 МГц. При коэффициенте отклонения 0,005 В/дел. – от 0 до 7 МГц.

2.4  Время нарастания переходной характеристики тракта вертикального отклонения в положениях 0,1; 0,2; 0,5; 1; 2; 5; 10; переключателя V/дел. не превышает 8 нс; в положении 0,005 переключателя V/дел. не превышает 10 нс; в положениях 0,01; 0,02; 0,05; не превышает 7 нс.

2.5  Неравномерность переходной характеристики (отражения, синхронные наводки) после времени установления 3, от считываемого от точки на фронте ПХ, расположенной на уровне 0,1, не должна превышать 1,5%.

2.6  Параметры входа канала вертикального отклонения:

а) входное сопротивление 1 0,03 МОм;

б) входная ёмкость, параллельная входному сопротивлению, не превышает 25 пФ

в) входное сопротивление с выносным делителем 1: 10 101 МОм с ёмкостью, параллельной входному сопротивлению, 102 пФ;

г) вход закрытый и закрытый.

2.7    Коэффициент отклонения устанавливается одиннадцатью ступенями от 0,005 до 10V/дел. с плавной регулировкой коэффициента отклонения относительно калиброванного положения не менее чем в 2,5 раза.

2.8    Нелинейность отклонения не превышает 10%

2.9    Пределы перемещения луча по вертикали не менее 64 мм.

2.10  Допускаемое суммарное значение постоянного и переменного напряжения исследуемого сигнала на закрытом входе усилителя вертикального отклонения (УВО) 300 В.

2.11  Максимальная допускаемая амплитуда исследуемого сигнала не превышает:

а) при работе без выносного делителя 60 В

б) при работе с выносным делителем 300 В.

2.12  Минимальное значение исследуемого сигнала, при котором обеспечивается класс точности осциллографа, не более 15 мВ.

2.13  Минимальная длительность исследуемого временного интервала , при которой обеспечивается класс точности осциллографа, не более 35 нс.

2.14  Предел допускаемой основной погрешности измерения напряжения не превышает 5% в нормальных условиях применения и 6% в рабочих условиях применения.

2.15  Значение коэффициента развертки: 0,01; 0,02; 0,05; 0,1; 0,2; 0,5; 1; 2; 5; 10; 20; 50 мкс/дел.; 0,1; 0,2; 0,5; 1; 2; 5; 10; 20; 50 мс/дел.

2.16 Предел допускаемой основной погрешности измерения временных интервалов во всем диапазоне развертки ( кроме растянутой ) при размере изображения по горизонтали не менее двух делений не превышает 5% в нормальных условиях применения и 6% в рабочих условиях применения.

2.17  Внешняя синхронизация развертки осуществляется гармоническими сигналами частотой 10 Гц до 50 МГц при размахе напряжения сигнала от 0,5 до 30 В и импульсными сигналами обеих полярностей длительностью от 0,05 мкс до 1 с при амплитуде напряжения сигнала от 0,5 до 30 В. В режиме автозапуска синхронизации осуществляется сигналами частотой не менее 30 Гц.

2.18 Канал Z обеспечивает наблюдение яркостных меток при подаче на его вход среднеквадратичного значения испытательного напряжения от 1,5 до 20 В в полосе частот от 20 Гц до 10 МГц.

2.19  Параметры входа Z:

а) входное сопротивление 505 кОм;

б) входная емкость, параллельная входному сопротивлению, не более 140 пФ.

2.20  Сопротивления изоляции цепей питания между входом сетевого разъема и корпусом осциллографа не менее:

а) 20 МОм в нормальных условиях

б) 5 МОм при повышенной температуре

в) 2 МОм при повышенной влажности

2.21  Осциллограф сохраняет свои технические характеристики в пределах норм , установленных в ТУ , при питании его от сети переменного тока :

а) напряжением 22022 В, частотой 500,5 Гц, 60 Гц

б) напряжением 1155,75/22011 В частотой 400 Гц

2.22  Осциллограф обеспечивает свои технические характеристики в пределах норм, установленных ТУ после времени установления рабочего режима, равного 15 мин.

2.23  Мощность потребляемая осциллографом от сети при нормальных условиях, не превышает 125 ВА.

2.24 Наработка на отказ не менее 1500 ч.

Срок службы осциллографа 7 лет.

Технический ресурс 10000 ч.  

 

2.1.3 Вольтметр В7-16А.

Вольтметр универсальный В7 – 16А предназначен для измерения напряжения постоянного и переменного токов, активного сопротивления при регламентных, ремонтных и регулировочных работах в различных областях электроники ,а также для проверки приборов более низкого класса.

Условия эксплуатации вольтметра:

- температура окружающей среды – от 263 К ( минус 10 С) до 323 К (+50 С);

- атмосферное давление (1004) кПа

- относительная влажность - до 95% при температуре до 303 К ( +300 С)

1. Технические данные:

1.1  Время измерения напряжения постоянного тока при включенном входном фильтре не превышает:

- 200 мс при времени преобразования 100мс и четырехзначном цифровом отсчете;

- 40 мс при времени преобразования 20 мс и четырехзначном отсчете;

- 2 мс при времени преобразования 1 мс в трехзначном цифровом отсчете;

1.2    Диапазоны измерений электрических величин соответствуют значениям , указанными в таблице 2.1.

1.3    Диапазоны измерений разбиты на поддиапазоны , указанные в таблице 2.1.

1.4    Выбор поддиапазонов измерения осуществляется ручным способом.

1.5    Частотный диапазон измеряемых напряжений переменного тока:

от 20 Гц до 50 МГц на пределе «1»

от 20 Гц до 30 МГц на пределе « 10»

от 20 Гц до 20 кГц на пределах «100» и «1000»

1.6 При измерении напряжений переменного тока вольтметр градуируется в эффективных значениях. Основная погрешность обеспечивается при измерении напряжений синусоидальной формы с содержание гармоник не более 0,1% на пределе «1» , не более 0,2% на остальных пределах ,не более 1% в диапазоне частот 100 кГц – 50 МГц.

1.7  Вольтметр обеспечивает следующие режимы запуска:

   - автоматически от внутреннего источника импульсов с периодом повторения 0,1 – 5 с при времени преобразования 1 мс и 20 мс, 0,2 – 5 с при времени преобразования 100 мс;

- вручную нажатии кнопки;

- дистанционно от источника импульсов частотой не более 1 кГц , 50 Гц; 10 Гц соответственно;

1.9    Предел допускаемой основной погрешности вольтметра при измерении напряжения постоянного тока обеих полярностей:

- при времени преобразования 20 мс и 100 мс        

- при времени 1 мс      

   - где Uк – конечное значение установленного предела измерений;

    Uх – показание вольтметра.

1.10  Вольтметр имеет встроенный фильтр для дополнительного подавления помех последовательного вида в режиме измерения напряжения постоянного тока. Подавлением прибором помех синхронных с частотой питающей цепи, равной 50 Гц, при времени преобразования 20 и 100 мс в случае включенного фильтра составляет не менее 60 дБ.

1.11  Входное сопротивление вольтметра составляет:

   - не менее 10 МОм при измерении напряжения постоянного тока;

- не менее 1 МОм при измерении напряжения переменного тока;


Таблица 2.1

Наименование

измеряемых

величин и

единицы

измерений

Наименование пределов измерений  Время преобразования, мс
 100  20  1
Поддиапа-зоны измерений Дискрет-ность Поддиапа-зоны измерений Дис-крет-ность Поддиа-пазоны измерен.

Дискрет

ность

1.Напряжение

постоянного

тока, В

2.Напряжение

переменного

тока, В

3. Активное сопротивление, Ом

«1»

«10»

«100»

«1000»

«1»

«10»

«100»

«1000»

«1»

«10»

«100»

«1000» «10м»

2.2 Программное обеспечение тестирования устройства

Программа тестирования ПЗУ по методу контрольной суммы

LXI B 0040h                 ; Загрузить в ВС начальный адрес ПЗУ

MVI D FFh                            ; В регистре D – счетчик (FFh=255)

MVI E 00h                    ; Загрузить в рег. Е нач. значение суммы (S=0)

CYCLE:     LDAX B                       ; Аß(M)   

ADD E                          ; AßA+E

MOV E, A                    ; Eß(A)

INX B                           ; BßB+1

DCR D                          ; DßD-1

JNZ CYCLE                 ; Если не ноль, то перейти на CYCLE

MOV A, E                    ; Aß(E)

STA 0A00h                            ; Mß(A) – сохранить полученное значение

LXI B 0040h                 ; Загрузить в ВС начальный адрес ПЗУ

MVI D FFh                            ; В регистре D – счетчик (FFh=255)

MVI E 00h                    ; Загрузить в рег. Е нач. значение суммы (S=0)

CYCLE1:   LDAX B                       ; Аß(M)

ADD E                          ; AßA+E

MOV E, A                    ; Eß(A)

INX B                           ; BßB+1

DCR D                          ; DßD-1

JNZ CYCLE1               ; Если не ноль, то перейти на CYCLE1

LDA 0A00h                           ; Aß(M) загрузить раннее получ. значение

CMP E                          ; Сравнить два значения

JNZ ERROR                 ; Если не идентичны, то переход на

; подпрограмму «ошибка»  

HLT                              ; Остановка

2.3 Алгоритм поиска неисправностей

Базовым способом обнаружения неисправностей является метод «наращивания правильности» или «расширяющего теста» в сочетании с методом сравнения с «эталоном». Метод «наращивания правильности» предполагает поэтапное последовательное расширение работоспособной части системы путем включения в эту часть устройств, проверенных на предыдущем этапе. В ряде случаев, например при неисправности центрального процессора, необходимо включать в эту систему заведомо исправные («эталонные») устройства. Эксплуатационный персонал должен знать наименования комплектующих для микроЭВМ данной системы и их функциональное назначение, уметь запускать тесты и вести необходимый диалог с системой, т.е. специальной подготовки по вычислительной технике при этом не требуется.

Перед началом выполнения необходимо произвести внешний визуальный осмотр конструкции, проверить правильность монтажа согласно схеме электрической принципиальной, графическая часть лист 1 Э3, убедиться в отсутствии механических повреждений элементов, а также проверить на повреждение печатные проводники. Включить микроЭВМ в состав базового вычислительного комплекса. Убедиться в наличии заземления и исправности кабелей аппаратуры комплекса, куда встроена микроЭВМ. Установить переключатели сетевого питания аппаратуры в положение, соответствующее отключенному состоянию. Подключить к сети 220В 50Гц с помощью кабелей сетевого питания аппаратуру комплекса. При отключенном разъеме системного канала микроЭВМ включить аппаратуру комплекса, проверить ее работоспособность, а также значение питающих напряжений микроЭВМ на соответствие допустимым отклонениям. Подключить микроЭВМ в состав комплекса. Устранение дефектов монтажа, а также замену неисправных элементов необходимо производить маломощным паяльником, рассчитанным на напряжение не более 12В. Для защиты ИМС от статического электричества необходимо заземлить рабочий стол, паяльник, а также самого наладчика с помощью антистатического браслета. Измерение параметров схемы необходимо производить, используя вольтметр В7-16А или аналогичный. При снятии временных диаграмм работы схемы необходимо пользоваться осциллографом С1-65А.

         Алгоритм поиска неисправностей представлен в графической части дипломного проекта, лист 4.


3 ОХРАНА ТРУДА

3.1 Общие вопросы охраны труда

 

Охрана труда представляет собой систему законодательных актов, социально-экономических, организационных, технических, санитарно-гигиенических и лечебно-профилактических мероприятий и средств, обеспечивающих безопасность, сохранение здоровья и работоспособности человека в процессе труда [19].

25 ноября 1992 года в Украине принят закон '' Об охране труда “. Закон определяет основные положения по реализации конституционного права граждан на труд, охрану труда, охрану их жизни и здоровья. Закон регулирует взаимоотношения между работниками и администрацией по вопросам охраны труда и распространяется на все виды деятельности. В Украине законодательство по охране труда состоит из Закона “Об охране труда”, Кодекса законов о труде и других нормативных актов.

Труд человека в современном автоматизированном и механизированном производстве представляет собой процесс взаимодействия человека и машины, сопровождающейся исключительной, в большинстве случаев непроизвольной мобилизацией психологических и физических функций человека, приводящей в последствии к безотчетному снижению работоспособности. Поэтому важным является соблюдение оператором режима труда и отдыха.

Разработка программного обеспечения систем поддержки принятия решений для оценки эффективности инвестиционного проекта предполагает использование в качестве аппаратного обеспечения ПЭВМ, дополнительные средства графического вывода (принтер, графопостроитель и прочие), а также бытовую технику в виде кондиционера для облегчения работы и поддержания необходимой температур.

Приведем краткий анализ вредных и опасных производственных факторов, имеющихся в условиях эксплуатации вышеперечисленного аппаратного обеспечения в соответствии с ГОСТ 12.0.003-74* [20] .

Таблица 3.1 Перечень опасных и вредных производственных факторов

Наименование фактора Источники возникновения

Высокое электрическое напряжение

Сеть питания ПЭВМ и других периферийных устройств

Повышенный уровень статического электричества Высокое напряжение ЭЛТ, диэлектрические поверхности
Электромагнитные излучения ЭЛТ, диэлектрические поверхности
Повышенный уровень ионизации воздуха Рентгеновское излучение монитора и статическое электричество
Рентгеновское излучение ЭЛТ, диэлектрические поверхности

Повышенный уровень шума и

вибрации

 Устройства охлаждения ЭВМ, печатающие устройства
Повышенная пульсация светового излучения Лампы дневного света
Неблагоприятные метеоусловия Состояние систем отопления, вентиляции
Прямая и отраженная блескость Внешние источники света, воздействующие на экран
Пожароопасность помещения Наличие сгораемых материалов и источников зажигания
Психофизиологические факторы Перенапряжение зрения, монотонность труда, умственные и эмоциальные перегрузки позы

3.2 Производственная санитария

 

Поскольку разработанное программное обеспечение будет эксплуатироваться пользователем с использованием необходимых аппаратных средств, которые в свою очередь могут являться источниками каких-либо вредностей, произведем анализ возникновения вредностей для пользователя и окружающей среды, используя для этого перечень вредных и опасных производственных факторов приведенных в таблице 3.1.

Работа на ПЭВМ не требует физического напряжения, но требует максимальной концентрации внимания, и, следовательно, держит его в постоянном напряжении. Поэтому эта работа, в соответствии с ГОСТ 12.1.005-88 [21], отнесена к легкой категории 1а - легкие физические, исходя из следующих факторов: категории тяжести работ, периода года. Энергозатраты составляют до 139 Вт.

К основным показателям, характеризующим метеорологические в закрытых производственных помещениях (микроклимат) относятся: температура воздуха, [0С]; относительную влажность воздуха, [%]; скорость движения воздуха, [м\с].

Допустимые и оптимальные нормы температуры параметров метеорологических условий в соответствии с категорией работ и в зависимости от периода года приведены в таблице 3.2.

Таблица 3.2 Допустимые и оптимальные значения параметров метеорологических условий.

Категория работ по тяжести Период года

Температура, 0С

Относительная влажность, % Скорость движения воздуха в помещении, м\с
Постоянные рабочие места Непостоянные рабочие места
 Допустимые значения параметров
Холодный 19 – 25 17 – 23 75 не более 0.1
Теплый 21 – 27 19 – 29 55 0.1 – 0.2
Оптимальные значения параметров
Холодный 22 – 24 20 – 22 40 – 60 0.1
Теплый 23 – 25 21 – 27 40 – 60 0.1

Обеспечение условий, приведенных в таблице 3.2, в теплый период года осуществляется при помощи приточно-вытяжной вентиляции (устанавливается кондиционер); в холодный – с помощью естественной вентиляции и отопления согласно СНиП 2.04.05.-93 [22].

Задачей вентиляции является обеспечение чистоты воздуха и заданных метеорологических условий в производственных помещениях. Вентиляция достигается удалением загрязненного или нагретого воздуха из помещения и подачей в него свежего воздуха.

Состояние освещения производственных, служебных и вспомогательных помещений регламентируется СНиП ІІ–4–79/85 [23]. В светлое время используется боковое одностороннее естественное освещение, а в темное время суток – общее равномерное искусственное.

В таблице 3.3 приведены нормативные показатели освещения рабочей зоны (естественного и искусственного).

Таблица 3.3 Освещение производственных помещений.

Наименование работ Площадь пола, кв. м. Разряд зрительной работы Освещение
естественное искусственное
вид освещения КЕО, % нормированная освещенность Е, Лк
Вычислительный центр  15 IIIв боковое 2 300

Для определения нормированного значения КЕО для условий г. Харькова воспользуемся формулой

 

где - нормированная величина КЕО для третьего пояса светового климата равна 2%;

c – коэффициент солнечного климата, с = 0,75 (окна на запад);

m – коэффициент светового климата, m = 0,9.

%

В качестве источников света используются люминесцентные лампы мощностью 40 Вт или энергоэкономные мощностью 36 Вт типа ЛБ, ЛХБ, ЛЕЦ как наиболее эффективные и приемлемые с точки зрения спектрального состава, цветовая температура излучения которых находится в диапазоне 3500-4200 К.

Для освещения помещения применяются светильники серии ЛП013, ЛП031, ЛП033 исполнение 001 и 006, ЛС002, ЛС004, с металлической экранирующей решеткой и непрозрачными боковинами.

Шум является одним из наиболее распространенных в производстве вредных факторов. В соответствии с ГОСТ 12.1.003-89 [24] и ДНАОП 0.03-3.14-85[25] в помещениях программистов вычислительных машин уровни звука и эквивалентные уровни звука не должны превышать 50 дБА. Согласно ГОСТ 12.1.012-90 [26] уровень вибрации для категории 3, тип в, в условиях “комфорта” не должна превышать 75 дБ. Для уменьшения уровня звука и вибрации применяются демпфирующие материалы (резиновая прокладка под принтер).

Основным источником электромагнитного излучения, в том числе рентгеновского, в помещении являются электронно-лучевые трубки (ЭЛТ) мониторов. Согласно ДНАОП 0.00-0.31-99 [27] мощность экспозиционной дозы рентгеновского излучения трубки в любой точке перед экраном на расстоянии 5 см от его поверхности не должна превышать 100 мкР/ч. Защита пользователей ЭВМ от ЭМИ и рентгеновского излучения обеспечивается с помощью экранов из специального затемненного стекла.

В соответствии с ГОСТ 12.1.045-84 [28] допустимый уровень напряженности электростатических полей должен быть не более 20 кВ. В помещениях для предотвращения образования статического электричества и защиты от него должны иметься нейтрализаторы и увлажнители воздуха, пол должен иметь антистатическое покрытие, а также необходимо делать заземление экрана дисплея.

ЭМИ и статическое электричество приводят к ионизации воздуха, в результате которой происходит образование положительных ионов, считающихся неблагоприятными для здоровья человека ( ионы попадают вместе с воздухом в дыхательные пути, вызывая осложнения). В соответствии с [29] норма содержания легких аэронов обеих знаков должна составлять от 1500 до 5000 в 1 см3 воздуха. Мероприятиями по снижению количества ионов в воздухе являются увлажнение воздуха и проветривание помещения.

Согласно существующим рекомендациям время непрерывной работы с экраном не должно превышать 4 часа, длительность перерыва для отдыха должна составлять от 5 до 15 минут.

Суммарное время работы – до 50% продолжительности смены. Перерывы должны быть 10-15 минут каждый час работы.

Длительные перерывы ведут к нарушению рабочей установки, расстройству динамического стереотипа. Общий перерыв через 4 часа. Дополнительный перерыв через 3 часа и за 2 часа до окончания работы.

3.3 Техника электробезопасности

ЭВМ является однофазным потребителем электроэнергии, питающегося от переменного тока напряжением 220В и частотой 50Гц, от сети с заземленной нейтралью. По способу защиты человека от поражения электрическим током ЭВМ должно соответствовать первому классу защиты согласно ГОСТ 12.2.007.0-75 [30]. Защиту от случайного прикосновения к токоведущим частям обеспечивают конструктивные, схемно-конструктивные и эксплуатационные меры защиты.

По степени опасности поражения электрическим током помещение относится к помещениям с повышенной опасностью, т.к. возможно одновременное прикосновение человека к имеющим соединение с землей металлоконструкциям, в соответствии с ПУЭ-87[31].

ПЭВМ относят к электроустановкам закрытого типа исполнения (все токоведущие части находятся в кожухах) с действующими напряжениями до 1000 В. По ГОСТ 14255-69 [32] и ПУЭ-87[31] степень защиты персонала от соприкосновения с токоведущими частями внутри защитного корпуса и от попадания воды в внутрь корпуса соответствует IP-44 (где 4- защита от твердых тел размером более 1.0 мм; 4-защита от брызг воды).

Схемно-конструктивные меры электробезопасности обеспечивают безопасность прикосновения человека к металлическим нетоковедущим частям электрических аппаратов при случайном пробое из изоляции и возникновения электрического потенциала на них. В качестве схемно-конструктивной меры безопасности предусматривается зануление – преднамеренное соединение частей ПК, в нормальных условиях не находящихся под напряжением, с нулевым рабочим проводом.

Эксплутационными мерами электробезопасности является соблюдение правил техники безопасности при работе с высоким напряжением и следующих мер предосторожности:

-  корпуса всех устройств ЭВМ должны иметь надежное электрическое соединение с защитным заземлением;

-  защитное отключение – быстродействующая защита, обеспечивающая автоматическое отключение электроустановки при возникновении в ней опасности поражения человека электрическим током;

-  конструкция плит съемного пола должна обеспечивать втекание и отвод статического электричества. Покрытие плиточного пола необходимо выполнять из гладких, прочных, обладающих антистатическими свойствами материалов;

-  удаление пыли с экрана дисплея следует проводить не реже 1 раза в день.

При работе с ПЭВМ необходимо соблюдать правила технической эксплуатации электроустановок и следующие меры предосторожности:

-  не подключать и не отключать разъемы кабелей при включенном питании;

-  техническое обслуживание и ремонтные работы производить только при выключенном питании сети;

-  применять инструменты с изолированными ручками;

-не оставлять рабочую установку без присмотра.

Работник, поступающий на работу, обязательно проходит вводный и первичный инструктаж по технике безопасности в целях профилактики несчастных случаев, а также знакомится с инструктажем по соблюдению мер техники безопасности при работе с ПЭВМ.

3.4 Пожарная безопасность

Помещение, в котором выполнялась дипломная работа, расположено на пятом этаже семиэтажного здания. В ней находится один компьютер. Размеры комнаты: длина-5м, ширина-3м, высота-3,6м. Общая площадь составляет 15 м2 , что соответствует требуемым нормам ДНАОП 0.00-1.31-99[27], согласно которым на одно рабочее место должно приходится не менее 6,0 м2 .

По категории взрыво- и пожароопасности согласно ОНТП-86[33] данное помещение относится к категории В - пожароопасное из-за твердых сгораемых материалов (рабочие столы, бумага, изоляция и др.). Исходя из категории пожароопасности и этажности здания, степень огнестойкости здания II согласно СНиП 2.01.02-85[34] и СНиП 2.09.02-85[35].

Возможными причинами пожаров в помещении являются неисправность электропроводки и электрооборудования, короткое замыкание в сети, хранение горючих материалов (бумаги),молния и т.д. В качестве профилактических мер по предупреждению причин пожаров используется постоянный контроль за состоянием электрической проводки и соединительных проводов, хранение бумаги в сейфах и несгораемых шкафах.

Согласно ГОСТ 12.1.004-91[36] пожарная безопасность обеспечивается системами предотвращения пожара, пожарной защиты и организационно-техническими мероприятиями

В системе предотвращения пожара предусмотрены следующие меры:

1. Контроль и профилактика изоляции.

2. Наличие плавких предохранителей в оборудовании.

3. Молниезащита здания. Для данного класса пожароопасной зоны помещения П-IIа, с учетом количества грозовых часов в году-20 часов, устанавливается III категория молниезащиты[37].

4. Выбор степени защиты оболочек компьютера в соответствии с классом пожароопасной зоны помещения П-IIа [31]-не ниже IP-44 для электроустановок и IP-2X для светильников.

Система пожарной защиты предусмотрены следующие меры:

1. Система автоматической пожарной сигнализации оснащена дымовыми сигнализаторами.

2. Помещение оснащено углекислотными огнетушителями - ОУ-2 .

3. Для успешной эвакуации персонала двери помещения имеют следующие размеры:

-ширина не менее 1,5 м;

-высота не менее 2,0 м.

ширина коридора 1,8 м. Рабочее помещение должно иметь два выхода. Расстояние от наиболее удаленного рабочего места не должно превышать 100 м.

Таблица 4.3 Перечень первичных средств пожаротушения, обязательных в вычислительном центре.

Площадь, кв.м. Первичные средства пожаротушения (тип, наименование)

Коли-чество,

шт.

Огнегасящий эффект
 15

углекислотные огнетушители и ручные ОУ-2,

войлок, кошма, асбест

1  разбавление воздуха и снижение в нем содержания кислорода до концентрации, при которой прекращается горение. Огнетушащий эффект указанным газом обуславливается потерями теплоты и нагревание разбавителей и снижением теплового эффекта реакции прекращение доступа кислорода к горящим элементам.

Пожары в помещениях с ЭВМ представляют особую опасность, т.к. сопряжены с большими материальными потерями. Пожар может возникнуть при взаимодействии горючих веществ.

Пожарная безопасность обеспечивается:

-выполнением требований пожарной безопасности помещений для хранилища ЭВМ, хранилищ информации, установок кондиционирования и систем электропитания;

-выполнением правил пожарной безопасности при ремонтно-профилактических работах;

-системой автоматической пожарной сигнализации и пожаротушения.

К помещениям для ЭВМ, хранилищ информации, установкам кондиционирования и системам электропитания предъявляются следующие требования пожарной безопасности:

-материалы для акустической отделки стен и потолков должны быть негорючими;

-древесностружечные и древесноволокнистые плиты должны применяться только при их глубокой пропитке огнезащитными составами;

-стальные несущие и ограждающие конструкции должны быть защищены огнезащитными материалами и красками;

-конструкция съемного пола машинного зала должна обеспечивать доступ к кабелям и вентиляционным системам;

-плиты съемного пола должны быть выполнены из несгораемых или трудно сгораемых материалов;

-опоры и стойка съемных полов должны быть несгораемыми;

-подпольные пространства под съемными полами должны разделяться несгораемыми диафрагмами;

-различные помещения должны отделяться друг от друга противопожарными стенами и перегородками;

-в надпотолочном и подпольном пространствах должны устанавливаться пожарные датчики, система трубопроводов и выпускных устройств для подачи огнетушительного состава;

-в помещениях, где нет постоянного присутствия персонала, необходимо устанавливать автоматическую систему пожарной защиты;

-для нормальной эвакуации людей во время пожара ширина дверей должна быть не менее 1,5 м, высота не менее 2 м, ширина коридоров не менее 1,8 м;

-машинные залы площадью более 250 м2 должны иметь не менее двух выходов;

-пожар в любом помещении не должен отрезать пути к эвакуационным выходам;

         -- запасы перфолент и перфокарт в машинных залах не должны превышать оперативных норм;

-воздуховоды системы вентиляции должны быть выполнены из негорючих материалов, иметь небольшое число поворотов и гладкую поверхность стенок, так как накопленная в них пыль может воспламениться;

-воздуховоды должны периодически очищаться;

-в системах вентиляции должны быть предусмотрены клапаны для перекрытия воздуховодов при пожаре;

-теплоизоляция воздуховодов систем охлаждения ЭВМ должна быть выполнена из несгораемых материалов;

-при возникновении пожара системы вентиляции должны отключаться.

При выполнении ремонтно-профилактических работ необходимо соблюдать правила пожарной безопасности:

-перед началом профилактических работ необходимо проводить инструктаж по пожарной безопасности, правилам работы с горючими жидкостями;

-съемные узлы ЭВМ необходимо ремонтировать в отдельном, специальном помещении;

-необходимо использовать низковольтные паяльники, устанавливаемые на несгораемой подставке;

-в качестве моющих средств необходимо выбирать пожара - безопасные вещества;

-горючие жидкости не обходимо хранить в металлической, плотно закрывающейся таре и убирать по окончании работы в сейф;

-нельзя устанавливать ящики с жидкостями у входов, выходов, на эвакуационных путях, около установок и приборов отопления;

– нельзя оставлять без наблюдения включенную в сеть контрольно-измерительную аппаратуру;

-нельзя оставлять на устройствах остатки проводов, вату, марлю и другой материал;

-запрещается применять электронагревательные бытовые приборы;

-запрещается курить, включать и выключать электросеть и приборы во время работы с легковоспламеняющимися жидкостями.

Организационными мероприятиями пожарной профилактики является обучение производственного персонала противопожарным правилам, издание необходимых инструкций и плакатов, средств наглядной агитации. Обязательным является наличие плана эвакуации.

3.5 Охрана окружающей среды

Закон Украины об ” Охране окружающей среды” был принят 25 июня 1991 года [38].

Закон определяет правовые, экономические, социальные основы охраны окружающей среды. Задача Закона заключается в регулировании отношений в области охраны природы, использовании и воспроизводстве природных ресурсов, обеспечении и ликвидации последствий отрицательного воздействия на окружающую среду хозяйственной и другой деятельности человека, сохранение природных ресурсов, генетического фонда нации, ландшафтов и других природных объектов.

При массовом использовании мониторов и компьютеров нельзя не учитывать их влияние на окружающую среду на всех стадиях: при изготовлении, эксплуатации и после окончания их срока службы. Сегодня действуют экологические стандарты, которые определяют требования к производству и материалам, используемым в конструкции приборов. Они не должны содержать фреонов, хлоридов, бромидов (BS 7750) TCO ’95

В стандартах TCO ’99 заложено ограничение по кадмию в светочувствительном слое экрана дисплея и ртути в батарейках. Аппараты, тара и документация должны допускать нетоксичную переработку после использования.

Международные стандарты, начиная с TCO ’92 включают требования пониженного энергопотребления и ограничения допустимых уровней мощности, потребляемых в неактивном режиме.

Работа на ПК типа IBM PC\AT не оказывает вредного воздействия на окружающую среду. После истечения срока службы он полностью подлежит вторичной переработке, а также аппараты, тара, документация должны допускать нетоксичную переработку после использования.

Необходимо выполнять требования стандарта ISO – 14000 , который определяет требования к организации производственного процесса с минимальным ущербом, для окружающей природной среды.


4 ЭКОНОМИЧЕСКАЯ ЧАСТЬ

В экономической части дипломного проекта осуществляется расчет оптовой отпускной цены конструкции, по которой предприятие будет реализовывать свою продукцию потребителям.

На основании этого расчета делается вывод о целесообразности проектирования и внедрения новой конструкции в производство.

Исходные данные для расчета взяты из производственных условий.

Исходные данные для расчета курсового проекта

1.  Процент транспортно-заготовительных расходов, 9 %

2.  Процент доплаты основным производственным рабочим, 19 %

3.  Процент дополнительной заработной платы, 11 %

4.  Отчисления в пенсионный фонд, 36 %

5.  Процент косвенных цеховых расходов, 111 %

6.  Отчисление на социальное страхование, 3.74 %

7.  Отчисления в фонд занятости работников, 2.1 %

8.  Процент косвенных общезаводских расходов, 212 %

9.  Процент внепроизводственных расходов, 13 %

10.  Плановый процент уровня рентабельности, 20 %

11.  Налог на добавленную стоимость, 20%

12.  Режим работы 2-х сменный

13.  Процент отчислений на ремонт и обслуживание устройства, 11%

14.  Отчисления на монтажно-наладочные работы, 9%

15.  Амортизационные отчисления, 12%

16.  Партия 160 шт.


4.1 Расчет оптовой отпускной цены

Каждое предприятие производит продукцию и реализует ее по оптовой отпускной цене.

Оптовая цена – это те деньги, которые получит предприятие в виде выручки после реализации своей продукции.

Отпускная цена – это те деньги, которые платит покупатель с учетом налога на добавленную стоимость.

Расчет оптовой и отпускной цены конструкции осуществляется на основании калькуляции себестоимости с учетом налогообложения по законодательству Украины.

Себестоимость – это сумма всех денежных затрат предприятия, связанных с производством и реализацией единицы продукции.

В зависимости от стадии изготовления и места свершения затрат, различают цеховую, заводскую и полную себестоимости.

Цеховая себестоимость определяет затраты цехов, связанные с производством продукции, которые формируют цеховую себестоимость.

, грн                 (4.1)

где M – затраты на основные материалы и комплектующие покупные изделия с

учетом их доставки на завод;

- прямая (тарифная) заработная плата основных производственных рабочих;

- доплаты к заработной плате

- дополнительная заработная плата

*- отчисления на социальное страхование

- отчисления в пенсионный фонд

- отчисления в фонд занятости работников

- косвенные цеховые расходы.

Производственные затраты, связанные с изготовлением конструкции, включаемые в заводскую себестоимость, определяются по формуле:

, грн               (4.2)

где    - косвенные общезаводские расходы.

Каждое предприятие не только производит свою продукцию, но и реализует ее, поэтому учитывается реализационные затраты, входящие в полную себестоимость.

, грн              (4.3)

где    - косвенные внепроизводственные расходы

Предприятие реализует свою продукцию оптом, по оптовой цене, которая определяет величину выручки завода.

, грн                 (4.4)

где П – плановая величина прибыли.

Покупатель приобретает продукцию с завода оптом по отпускным ценам, в состав которых включают налог на добавленную стоимость

, грн             (4.5)

где НДС – налог на добавленную стоимость


4.1.1 Расчет затрат на основные материалы

Основным материалом для изготовления данной конструкции является стеклотекстолит, который используется для изготовления печатных плат.

Для определения затрат на основные материалы, необходимо рассчитать массу заготовки. Она вычисляется по формуле:

, кг           (4.6)

где    V - объем печатной платы,

P – удельная плотность стеклотекстолита (г/)

L – длина печатной платы, 220 мм

l – ширина печатной платы, 100 мм

p – толщина печатной платы (толщина одного слоя h=0,1 см), см

Рисунок 4.1 Эскиз печатной платы

Затраты на основные материалы рассчитываются по формуле

,грн          (4.7)

где Ц – цена стеклотекстолита, одного килограмма, грн


,грн

На основании приведенных выше расчетов определяются затраты на основные материалы в следующей таблице:

Табл. 4.1

Наименование затрат Норма расхода на деталь, кг

Цена 1 кг,

грн.

Сумма, грн
Стеклотекстолит 0.07 10.80 0.756
Транспортно – заготовительные расходы 0.068
Итого затрат на основные материалы с учетом доставки 0.824

               (4.8)

         , грн

4.1.2 Расчет затрат на покупные комплектующие изделия

Расчет затрат на покупные комплектующие изделия осуществляется на основании данных спецификаций на покупные комплектующие изделия, входящих в конструкцию, а также договорных цен за единицу покупных комплектующих изделий. Весь расчет затрат осуществляется в таблице 4.2.

Таблица 4.2 – Расчет затрат на покупные комплектующие

Наименование изделия Тип Количество, шт. Цена 1 шт., грн. Сумма, грн
Интегральные микросхемы

КР1113ПВ1

КР580ВВ55А

1

1

7.60

3.40

7.60

3.40

Резисторы СП319-А 1 0.20 0.20
Разъем СНП-59-96 1 0.40 0.40
Итого затрат на ПКИ 11.60
Транспортно-заготовительные расходы 1.04
Итого затрат на ПКИ с учетом доставки 12.64

, грн        (4.9)

, грн

На основании приведенных расчетов определяется величина материальных затрат на конструкцию, которая рассчитывается по формуле:

, грн (4.10)

где    - затраты на основные материалы с учетом доставки

*- затраты на ПКИ с учетом доставки на завод.

, грн

4.1.3 Расчет затрат на заработную плату основным производственным рабочим

В данном разделе рассчитывается величина прямой заработной платы основным производственным рабочим, доплаты и дополнительная заработная плата, а также отчисления на социальное страхование в расчете на единицу изделия.

Расчет прямой заработной платы на единицу изделия осуществляется по всем видам работ, связанных с изготовлением печатной платы с учетом ее сборки.

Для расчета прямой заработной платы необходимо знать трудоемкость изготовления печатной платы по всем видам работ. С этой целью используется формула, разработанная НИИ города Северодонецка:


, час     (4.11)

где    Тп/пл – трудоемкость изготовления ПП по всем видам работ, час

х – число паек в конструкции, в тысячных долях

, час

Для определения трудоемкости изготовления ПП по всем видам работ, а также для определения прямой заработной платы основным производственным рабочим, составляется таблица 4.3.

Таблица 4.3 – Расчет зарплаты основным рабочим

Виды работ Разряд Трудоемк. ПП, часов Часовая тарифная ставка, грн Трудоемк.по видам работ Сумма, грн
% час
Заготовительные 2 1.14 0.79 18 0.20 0.158
Механосборочные 3 0.85 15 0.17 0.144
Химико-гальванические 3 0.85 14 0.16 0.136
Монтажные сборочные 2 0.79 8 0.09 0.071
Наладочно-регулировочные 4 0.90 20 0.22 0.198
Подготовительные 2 0.79 10 0.11 0.086
Контрольные 4 0.90 15 0.17 0.153
Итого прямой заработной платы 0.946

Таким образом, заработная плата основным производственным рабочим составляет 0.94 грн.

Расчет оптовой отпускной цены конструкции был осуществлен с помощью ЭВМ (распечатка данного отчета прилагается).


4.2 Построение графика безубыточности

Построение графика достижения точки безубыточности позволяет определить влияние на прибыль предприятия объемов производства и продаж, величин постоянных и переменных затрат, а также величину оптовой цены конструкции.

Для построения графика безубыточности необходимо в полной себестоимости конструкции выделить переменные (V) и постоянные затраты (H).

К величине переменных затрат относятся:

, грн      (4.24)

, грн

К постоянным затратам относятся все остальные показатели, составляющие величину полной себестоимости продукции:

, грн   (4.25)

, грн

Для построения графика безубыточности необходимо использовать заданную программу выпуска изделия .

Величина полной себестоимости конструкции составляет 21.37 грн, в т.ч. переменные затраты – 14.52 грн, постоянные – 6.85 грн. Оптовая цена конструкции 24.85 грн. Определим точку безубыточности при выпуске изделий в количестве 160 шт.

Таким образом, для партии изделий в количестве 160 шт :

- полная себестоимость всей партии изделий равна 21.37х160 = 3420 грн

- переменные затраты составят 14.52х160 = 2323 грн

- постоянные затраты составят 6.85х160 = 1096 грн

- оптовая цена всей партии равна 24.85х160 = 3976 грн

График безубыточности, построенный по этим данным, показан на рис.4.2.


Рис. 4.2 График безубыточности

Построив график, можно графическим способом определить критическую точку безубыточности – это примерно 105 шт. Это означает, что если произвести 105 единицы изделия и затем их реализовать по оптовой цене , то завод-изготовитель при этом не потеряет средств, вложенных в производство, но и не получит никакой прибыли. Если размер производимой партии изделий будет меньше 105 шт., то завод-изготовитель не получит никакой прибыли и будет работать себе в убыток. Если же размер производимой партии будет больше 105 шт., то завод-изготовитель будет получать прибыль (чем больше партия изделий, тем больше прибыль) в соответствии с построенным графиком безубыточности.

 

4.3 Расчет эксплуатационных показателей

Изготовленное устройство, являясь объектом эксплуатации, вызывает определенные затраты. Эти затраты характеризуют целесообразность изготовления и эксплуатации проектируемой конструкции.

Покупатель, приобретая конструкцию, осуществляет капитальные затраты и несет определенные эксплуатационные расходы.

4.3.1 Расчет величины капитальных затрат

Капитальные расходы учитывают затраты на доставку, монтаж, наладку устройства, его первоначальную стоимость и определяются по формуле:

, грн            (4.26)

где    К – величина капитальных расходов, грн;

 - отпускная цена устройства, грн;

 - затраты на доставку изделия к потребителю, грн;

 - затраты на монтаж и наладку устройства, грн.

Затраты на доставку устройства определяются по формуле:

, грн                   (4.27)

Где  по заданию на дипломный проект.


, грн

Затраты на монтаж и наладку устройства определяются по формуле:

, грн (4.28)

где  - процент отчислений на монтаж и наладку устройства составляет по заданию на дипломный проект 9%.

, грн

Таким образом, , грн.

 

4.3.2 Расчет показателей эксплуатационных расходов

Эксплуатационные расходы позволяют учитывать амортизационные отчисления, затраты на потребляемую электроэнергию, на плановый ремонт и обслуживание, затраты на замену отказавших элементов, на устранение отказов и определяются по формуле:

, грн                  (4.29)

где     - величина эксплуатационных расходов, грн;

А – амортизационные расходы, грн;

 - затраты на электроэнергию, грн;

 - затраты на плановый ремонт и обслуживание, грн;

 - затраты на устранение отказов, грн.

Годовые амортизационные отчисления определяются по формуле:


, грн            (4.30)

где  составляют 12% по заданию на дипломный проект.

, грн

Затраты на электроэнергию определяются, исходя из потребляемой мощности всех электронесущих элементов, входящих в конструкцию устройства, по следующей формуле:

, грн                  (4.31)

где     - суммарная потребляемая мощность всех элементов, кВт

 - действительный годовой фонд времени работы устройства, ч

 - цена одного кВт электроэнергии, грн.

На установленный момент времени  грн.

Расчет потребляемой мощности элементов устройства выполнен табличным способом в таблице 4.4.

Таблица 4.4 – Расчет потребляемой мощности

Наименование элемента Тип

Потребл. мощн. *10-6, кВт

Кол-во элементов, шт

Суммарная мощность *10-6, кВт

Интегральная микросхема

КР1113ПВ1

КР580ВВ55А

0.50

0.60

1

1

0.50

0.60

Резистор СП319-А 0.25 1 0.25
Итого 1.35

, час                (4.32)

, час

где  - коэффициент, учитывающий потери времени на ремонт и обслуживание устройства, равен 11% по заданию на дипломный проект.

Т.о грн

Затраты на плановый ремонт и обслуживание составляют 10 – 15% от отпускной цены устройства. Приняв равными 10%, определяются по формуле:

, грн            (4.33)

, грн

Затраты на замену отказавших элементов с учетом интенсивности отказов в течение года определяются по формуле:

, грн         (4.34)

где     - количество элементов в устройстве, шт;

 - интенсивность отказов элемента, 1/час;

 - цена одного элемента, грн;

Расчет затрат на замену отказавших элементов выполнен табличным способом в таблице 4.5.


Таблица 4.5 Затраты на замену отказавших элементов (примерно)

Название элемента Марка, ГОСТ Кол-во, шт.

, 1/час

, час

Кол-во отказов 1 эл-та, год*10-6

Общая , 1/час

, грн

Сумма затрат *10-6, грн

ИМС

КР1113ПВ1

КР580ВВ55А

1

1

0.14 3638 509.32

509.32

509.32

7.60

3.40

3870

1730

Резистор СП319-А 1 0.126 458.38 458.38 0.10 44

           , грн

Затраты на устранение отказов определяются по формуле:

, грн    (4.35)

где     - общая интенсивность отказов элементов (см. табл. 4.5);

Т1 – среднее время устранения 1 отказа (1 час);

 - часовая тарифная ставка работника, устраняющего отказ.

Процент дополнительной зарплаты и отчислений на социальное страхование – по заданию на дипломный проект.

Т.о    грн

После проведенных расчетов определяем величину эксплуатационных расходов по формуле:

грн


4.4 Выводы по расчетам

Проведенный выше расчет показывает, что продукция, изготовляемая предприятием, экономически обоснована и соответствует требованиям рынка.

В результате проведенных расчетов была получена величина нижнего предела оптовой цены конструкции (24.85 грн.), ниже которого сделки по реализации конструкции предприятию заключать не имеет смысла, т.к. оно будет нести убытки. Также в дипломном проекте был построен график безубыточности, который в полной мере отображает зависимость убытков или прибыли предприятия-производителя от количества партии изделий. В данном случае критической точкой безубыточности является партия изделий в 105 шт.

Также был произведен расчет затрат на эксплуатацию, ремонт и наладку устройства; расчет электроэнергии, потребляемой устройством в год, — все эти затраты будет оплачивать покупатель в процессе пользования готовым изделием.

В итоге можно сделать вывод, что проектируемое устройство целесообразно запустить в производство, т.к. оно обладает широкими возможностями для его применения и использования, доступно большинству пользователей по цене, и будет приносить прибыль.


Еще из раздела Коммуникации и связь:


 Это интересно
 Реклама
 Поиск рефератов
 
 Афоризм
В Москве открылся новый эротический цирк! Чего только не кладет дрессировщик в пасть бедному льву!
 Гороскоп
Гороскопы
 Счётчики
bigmir)net TOP 100